您的位置 首页 国产IC

Board从入门到通晓系列(六)

Board从入门到精通系列(六)-由于更新了开发工具,所以本篇博客有必要重复前面的内容,今天首先演示如何利用Vivado开发纯逻辑工程,即只在PL上进行开发。

因为更新了开发东西,所以本篇博客有必要重复前面的内容,今日首要演示怎么运用Vivado开发纯逻辑工程,即只在PL上进行开发。刚好最近在看雷思磊的《步步惊芯——软核处理器内部规划剖析》,所以将一部分试验搬到了ZED-Board上进行验证。对OpenRISC感兴趣的童鞋能够重视Rill的专栏 进一步取得学习资源。

先扼要介绍下OpenRISC软件开发,参阅了雷思磊书上树立开发环境的进程。
1.下载GNU开发环境,能够直接下载装备好的VirtualBox镜像,链接为 ftp://openrisc.opencores.org/virtualbox-image/ ,FTP用户名和暗码都是openrisc。下载2011-12-15版别。
2.下载装置VirtualBox。新建虚拟机,装备为Linux, Ubuntu,512MB内存(需求并不高),运用现有虚拟硬盘(OpenRISC_Ubuntu_2011-12-15.vdi)
装置完结即可发动。GNU东西链为or32-elf-…(能够是ar, as, g++, gcc等等)。root暗码:openrisc
VirtualBox中设置Windows和虚拟机的同享文件夹。封闭Ubuntu体系,在VirtualBox主界面中挑选“设置”,在“数据空间”中增加Windows同享文件夹E:\Share,在Ubuntu中名称为Share。设置完结后,发动Ubuntu体系,终端输入指令sudo mount -t vboxsf Share /mnt/sharefolder

虚拟机运转后如图(假如图太大看不彻底,能够右键另存为图片后在本地检查)。

3.编写简略测验汇编代码example.s
# Comments like this
# Begin
.secTIon .text, ax

.org 0x100

.global _start
_start:
l.andi r0,r0,0
l.extwz r1,r0
l.extwz r2,r0
l.addi r1,r1,0x0A
l.add r2,r2,r1
l.nop 0x0001
# End

4.
编译:or32-elf-as example.s -o example.o
链接:or32-elf-ld -T ram.ld example.o -o example.or32
链接输入脚本ram.ld内容如下:
MEMORY
{
ram : ORIGIN = 0x00000000, LENGTH = 0x00005000
}
SECTIONS
{
.text :
{
*(.text)
} > ram

.data :
{
*(.data)
} > ram

.bss :
{
*(.bss)
} > ram
}

ENTRY (_start)

OR1KSim模拟器运转:sim -t example.or32 -m1M > example.trace

生成的盯梢文件example.trace内容如下:
Seeding random generator with value 0x88a2d16e
Or1ksim 2011-08-15
Building automata… done, num uncovered: 0/213.
Parsing operands data… done.
ResetTIng P%&&&&&%.
loadcode: filename example.or32 startaddr=00000000 virtphy_transl=00000000
Not COFF file format
ELF type: 0x0002
ELF machine: 0x005c
ELF version: 0x00000001
ELF sec = 5
SecTIon: .text, vaddr: 0x00000000, paddr: 0x0 offset: 0x00002000, size: 0x00000118
S 00000100: a4000000 l.andi r0,r0,0 r0 = 00000000 flag: 0
S 00000104: e020004d l.extwz r1,r0 r1 = 00000000 flag: 0
S 00000108: e040004d l.extwz r2,r0 r2 = 00000000 flag: 0
S 0000010c: 9c21000a l.addi r1,r1,0xa r1 = 0000000a flag: 0
S 00000110: e0420800 l.add r2,r2,r1 r2 = 0000000a flag: 0
exit(0)
@reset : cycles 0, insn #0
@exit : cycles 5, insn #6
diff : cycles 5, insn #6

从上述成果能够看到软件仿真的成果,留意r1,r2的值改变状况,后边硬件仿真时会看到。

导出二进制文件:or32-elf-objcopy -O binary example.or32 mem.bin

上述进程、指令行参数具体意义请参阅原书,此处略过不提。

为了将mem.bin文件转化为Vivado Simulator可辨认的格局,博主用matlab编写了格局转化程序bin2mem.m,代码如下:
clear;
clc;
close all;

fid = fopen(mem.bin,rb);
mem = fread(fid,uint8);
fclose(fid);
mem = reshape(mem,4,[]);
mem = [65536*256,65536,256,1]*mem;
fid = fopen(mem.data,w);
fprintf(fid,%08x\r\n,mem);
fclose(fid);

得到mem.data后宣告OpenRISC软件开发的完毕。下面运用Vivado进行硬件渠道开发。

首要取得Vivado 2013.2软件,能够从xilinx官网下载。最新版的博主没有用过,不能确保全部操作进程都共同,需求读者自行和谐。

装置完结后,运转Vivado,双击下面图标,左边为IDE,右侧为HLS,本试验只用IDE。

发动后欢迎界面如下

挑选Create New Project,如下设置项目名称

一向按下一步,到如下画面后停止

挑选器材,先点Specify中的Boards,再点ZedBoard Zynq Evaluation and Development Kit。下一步,直到完结,进入IDE。

在IDE的Sources窗口中右键挑选Add Sources…,增加OpenRisc verilog源码。咱们将openrisc_rtl_verilog_or1200_rel3版别源码解压到本地磁盘,还需求将or1200_defines.v, or1200__qmem_top.v, or1200_spram_2048x32.v三个文件进行修正,能够下载后直接掩盖原文件,原书中有具体修正阐明。

挑选第二项,下一步

点Add Directories…挑选源码寄存目录,确认,回来IDE。这时还需求增加一个仿真鼓励文件,咱们创立一个文件or1200_tb.v,进程如下:

仍然在Sources窗口右键,Add Sources…

挑选第三项,Next

挑选Create File…,输入or1200_tb,确认,回到IDE。双击翻开,修正其内容如下:
`timescale 1ns / 100ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 2014/01/26 12:57:10
// Design Name:
// Module Name: or1200_tb
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 – File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////

module or1200_tb();
reg CLOCK_50;
reg rst;
initial begin
CLOCK_50 = 1b0;
forever #10 CLOCK_50 = ~ CLOCK_50;
end

initial begin
rst = 1b1;
#200 rst = 1b0;
#1000 $stop;
end

or1200_top or1200_top_inst
(
.clk_i(CLOCK_50),
.rst_i(rst),
.pic_ints_i(20b0),
.clmode_i(2b00),

.iwb_clk_i(clk_i),
.iwb_rst_i(rst),
.iwb_dat_i(32b0),
.iwb_ack_i(1b0),
.iwb_err_i(1b0),
.iwb_rty_i(1b0),
.iwb_cyc_o(),
.iwb_adr_o(),
.iwb_dat_o(),
.iwb_stb_o(),
.iwb_we_o(),
.iwb_sel_o(),
`ifdef OR1200_WB_CAB
.iwb_cab_o(),
`endif

.dwb_clk_i(clk_i),
.dwb_rst_i(rst),
.dwb_dat_i(32b0),
.dwb_ack_i(1b0),
.dwb_err_i(1b0),
.dwb_rty_i(1b0),
.dwb_cyc_o(),
.dwb_adr_o(),
.dwb_dat_o(),
.dwb_stb_o(),
.dwb_we_o(),
.dwb_sel_o(),
`ifdef OR1200_WB_CAB
.dwb_cab_o(),
`endif

.dbg_stall_i(1b0),
.dbg_ewt_i(1b0),
.dbg_lss_o(),
.dbg_is_o(),
.dbg_wp_o(),
.dbg_bp_o(),
.dbg_stb_i(1b0),
.dbg_we_i(1b0),
.dbg_adr_i(0),
.dbg_dat_i(0),
.dbg_dat_o(),
.dbg_ack_o(),

.pm_cpustall_i(0),
.pm_clksd_o(),
.pm_dc_gate_o(),
.pm_ic_gate_o(),
.pm_dmmu_gate_o(),
.pm_immu_gate_o(),
.pm_tt_gate_o(),
.pm_cpu_gate_o(),
.pm_wakeup_o(),
.pm_lvolt_o()
);
endmodule

将or1200_tb.v设为仿真的顶层文件。全部安排妥当,下面进行行为仿真。

在左边规划流程中挑选Run Simulation,接着点行为仿真。经过初始化,进入Vivado Simulator界面。增加信号or1200_tb/or1200_top_inst/or1200_cpu/or1200_ctrl/ex_insn,or1200_tb/or1200_top_inst/or1200_cpu/or1200_rf/rf_b/mem[1]和mem[2]到波形观测窗。

将前面生成的OpenRISC代码mem.data复制到仿真目录(依据你的工程途径设置),如下图

在仿真界面指令行顺次输入restart,run 1000ns后得到成果如下

比照mem.data文件最终几行二进制代码:
a4000000
e020004d
e040004d
9c21000a
e0420800
15000001

能够看到,ex_insn信号顺次出现了上述指令,并且能看到mem[1]和mem[2]的值顺次变为0x0000000a。比照前面trace文件能够知道,mem[1]即OpenRISC的r1寄存器,mem[2]即r2寄存器,顺次类推。咱们在进一步学习OpenRISC时,能够要点重视这些寄存器的值改变。

为了直观看到取指、译码、履行流水线,咱们再参加or1200_tb/or1200_top_inst/or1200_cpu/or1200_ctrl/ex_insn/if_insn和id_insn两个信号,重启仿真进程:restart, run all.

能够看到指令沿if,id,ex三个模块顺次活动,完结了流水线。

本节工程文件能够到我的资源下载。

定论:经过Vivado能够代替ISE完结逻辑开发和验证。

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/bandaoti/ic/183814.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部