您的位置 首页 解答

把握三大原则,轻松分配FPGA引脚

现在的FPGA正变得越来越复杂,向引脚分配信号的任务曾经很简单,现在也变得相当繁复。下面这些用于向多用途引脚指配信号的指导方针有助于设计师根据最

  现在的FPGA正变得越来越杂乱,向引脚分配信号的使命从前很简单,现在也变得适当繁复。下面这些用于向多用处引脚指配信号的指导方针有助于规划师依据最多到最少的束缚信号指配准则提早考虑信号指配,并削减重复的次数。

  这里有一个条件,即假定规划师现已依据规划的大约规划和信号要求确认了方针器材规模和类型。对以下每一步都应在考虑单极信号前优先考虑差分对信号。

  最早指配那些只能在特定引脚上作业的特别信号,正常状况下是指串行I/O信号和大局时钟信号。其次指配大型和/或高速信号总线,特别是那些要跨过多个库或区域的信号。假如总线需求部分时钟,那么就要考虑具有更多部分时钟引脚的库或区域,并先指配部分时钟。

  假如针对FPGA器材选用了多种I/O规范,那么规划师还必须先考虑将I/O信号映射到库/区。这一步需求慎重考虑,由于许多I/O规范和参阅电压是不兼容的。一些I/O规范要求在特别引脚上输入参阅电压,使得这些引脚不行再用于一般用处。将高速输出和双向信号分隔指配在必定程度上可防止一起开关输出噪声(SSO)问题。

  第三,选用第二步中相同的根本规则指配速度较慢和束缚较少的总线,但不必太多考虑SSO等问题。第四,最终完结单个信号的指配。假如只剩下少数引脚,或在第一次重复时用完了一切的引脚,能够考虑选用具有更多I/O数量的下一种器材,由于依据商场状况必定还会暂时添加某些功用,并且没有哪个规划师愿意在规划的最终阶段再做一遍指配进程吧。

  在以上每一步中,要树立含有正确信号分配和I/O规范的束缚文件,以及含有I/O规划部分的HDL文件。然后再开端布局和布线,由于按从最多束缚信号到最少束缚信号的次序能够更好地发现过错。

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/changshang/jieda/148908.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部