您的位置 首页 电路

根据EP1K30TC144-3芯片完成温控守时喷灌体系的规划和仿真剖析

基于EP1K30TC144-3芯片实现温控定时喷灌系统的设计和仿真分析-FPGA是新型的可编程逻辑器件,能够将大量的逻辑功能集成于单个器件中,它所提供的门数从几百门到上百万门,符合系统芯片(SOC—System On Chip)的发展要求,具有高度集成、低功耗、硬件升级等优点,可以满足不同的需要。

1、导言

FPGA是新式的可编程逻辑器材,能够将很多的逻辑功用集成于单个器材中,它所供给的门数从几百门到上百万门,契合体系芯片(SOC—System On Chip)的开展要求,具有高度集成、低功耗、硬件晋级等长处,能够满意不同的需求。

跟着电于技能和传感技能的不断开展,使得可编程逻辑器材在现代数字体系和微电子技能使用中起着越来越重要的效果,本文首要研讨使用FPGA器材和MAXPLUSⅡ东西软件规划温控守时喷灌体系。文中还涉及到模数转化器ADC0804、温度传感器AD590的使用。

2、体系的硬件结构

温控守时喷灌体系的硬件结构如图一所示,由数字温度表和FPGA两部分组成。数字温度表丈量规模为0一100℃.AD590温度传感器的感测才能是,温度每升高1K就添加1μA的电流量,该电流流入1OKΩ的电阻后,将会发生1μA×1OKΩ=10mV的电压。而00C(等于273 K)时,输出电流273μA,流入1OKΩ的电阻后,发生273μA×10 KΩ = 2.73 V的电压。假如测到电压为XXV,则可由公式(XXV -2.73V)÷ 10 mV得到要测的温度。温度传感器AD590串接1OKΩ

的电阻,然后经一个运算放大器后,将电压引进ADC0804的Vin(+)管脚。ADC0804是8位模数转化器,丈量精度为0.02 V,当ADC 0804的转化值为XXH时,所测温度为T=(XXH×0.02 V-2.73V) ÷lOmV=XXH ×2-273。

根据EP1K30TC144-3芯片完结温控守时喷灌体系的规划和仿真剖析

3、FPGA功用模块的规划

FPGA器材规划选用自顶向下的规划办法,将使命分解为三大功用模块,最终将各功用模块衔接构成顶层模块,完结全体规划。三大功用模块可用VHDL言语编程完结,也可经过图形输入法规划,FPGA是体系的中心,本体系选用了Altera公司的EP1K30TC144-3芯片,在MAXPLUSⅡ开发平台上,完结三大功用模块: 数据处理模块TDATA、时钟模块clock、喷灌操控模块CONTROL。完结三个子模块的规划后,用图形输入法构成的顶层规划如图二所示。

3.1 时钟模块

用图形输入法构成的时钟模块clock如图三,其间包含两个模60计数器cntm60,一个模12计数器cntm12,它们输出的信号别离对应时、分、秒的各位。时钟模块亦可由VHDL言语编写,该程序比较常见,这儿省掉。

3.2 数据处理模块

数据处理模块TDATA完结温度数据的处理,完结T=(XXH×0.02 V-2.73V) ÷lOmV=XXH ×2-273的运算,将接收到的转化值调整成对应的数字信号,在读 取 到 ADC0804的转化数据后,先将转化数据左移1位(相当于数值乘2),然后减去“100010001” (273的二进制表明)。当温度大于某一数值时(如25℃),数据处理模块TDATA输出的使能信号和清零信号为1,它们作为时钟模块的输入端,操控时钟是否开端计时。首要程序如下:(为了结构明晰,对部分内容进行了删减)

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity tdata is

port (data:in std_logic_vector (7 downto 0);

t :out std_logic_vector (8 downto 0);

en:out std_logic;

clear:out std_logic);

end tdata ;

architecture aa of tdata is

signal datain : std_logic_vector (8 downto 0);

signal TIn : std_logic_vector (8 downto 0);

begin

process(data)

begin

datain《=data&‘0’;

TIn《=datain-“100010001”;

if(TIn 》= “000011001”) then

en《=‘1’;

clear《=‘1’;

else en《=‘0’;

clear《=‘0’;

end if;

end process;

t《=TIn;

……

3.3 喷灌操控模块

该模块的输入信号来自数据处理模块和时钟模块的输出,当温度大于等于某一数值时(如25℃),喷灌操控模块的输出信号操控喷灌开关主动翻开一守时刻(如2小时)。首要程序如下:(为了结构明晰,对部分内容进行了删减)

……

begin

process(hour,t)

begin

if(t 》= “000011001” and hour 》= “0000” and hour 《=“0010”) then

control《=‘1’;

else control《=‘0’;

end if;

end process;

……

4、 仿真

本文规划的VHDL言语程序已在MAXPLUSⅡ东西软件进步行了编译、仿真和调试,经过编程器下载到了EP1K30TC144-3芯片中实践测验并获得了满意规划要求的成果。

数据处理模块的功用仿真成果如图四所示。当转化数据为95H,计算所测温度为95H× 2-273=25℃,仿真成果正确。

喷灌操控模块的功用仿真成果如图五所示。能够看到,当温度大于等于25℃时,喷灌操控模块的输出信号操控喷灌开关主动翻开2小时。

5、 定论

经过以上对温控守时喷灌体系的规划,看到使用FPGA器材和EDA技能,不只缩短了体系的规划周期,还减少了体系体积,提高了体系的可靠性。具有规划周期短、规划费用和危险低、功用灵敏的特色。本文给出的规划思维也适用于其它根据PLD器材的体系规划。

本文规划了根据VHDL 言语的温控守时喷灌体系的几个模块:数据处理模块TDATA、时钟模块clock、喷灌操控模块CONTROL。每个模块都经过了功用仿真和时序仿真,生成了可归纳的网表文件,并下载到EP1K30TC144-3芯片中。仿真和测验的成果表明每个模块均完结了其逻辑功用。

责任编辑:gt

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/fangan/dianlu/86600.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部