您的位置 首页 FPGA

7段数码管显现驱动vhdl程序

再编辑一个7段数码管(共阴极)显示驱动程序:Libraryieee;Useieeestd_logic_1164all;EntityQDLED7isPORT(DATA:INSTD_LOGIC_VE

再修改一个7段数码管(共阴极)显现驱动程序:
Library ieee;
Use ieee.std_logic_1164.all;
Entity QDLED7 is
PORT(DATA:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
a,b,c,d,e,f,g:out std_logic);
end QDLED7;
Architecture LED of QDLED7 is
signal y: STD_LOGIC_VECTOR(6 DOWNTO 0);
begin
process(DATA)
begin
case DATA is
when “0000”=>y<="1111110" ;
when “0001”=>y<="0110000" ;
when “0010”=>y<="1101101" ;
when “0011”=>y<="1111001" ;
when “0100”=>y<="0110011" ;
when “0101”=>y<="1011011" ;
when “0110”=>y<="1011111" ;
when “0111”=>y<="1110000" ;
when “1000”=>y<="1111111" ;
when “1001”=>y<="1111011" ;
when others=>null;
end case;
a<=y(6);b<=y(5);c<=y(4);
d<=y(3);e<=y(2);f<=y(1);g<=y(0);
end process;
end;

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/fangan/fpga/318529.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部