您的位置 首页 电子

使用FPGA的本身特性完成随机数发生器

利用FPGA的自身特性实现随机数发生器-本文主要介绍利用FPGA的自身的特性实现随机数发生器,在Virtex-II Pro开发板上用ChipScope观察随机数序列,以及在PCIe4Base(基于Vi

本文首要介绍运用FPGA的本身的特性完成随机数发生器,在Virtex-II Pro开发板上用ChipScope调查随机数序列,以及在PCIe4Base(根据Virtex-4 FPGA)上完成。

根本的原理

随机数在核算机科学中的密码学中有着重要的用处,常常被用作密钥的来历。随机数包含伪随机数以及真随机数。伪随机数是经过必定的算法核算得出,具有类似于随机数的计算特征,这样的发生器称为伪随机数发生器。而真随机数是经过物理现象发生,例如运用电子元件的噪声、核裂变等等作为噪声源[2],这样的随机数发生器叫做物理随机数发生器,也叫做真随机数发生器(TRNG:Ture Random Number Generator)。

根据FPGA的随机数发生器根本原理是运用奇数个反相器组成振荡器作为随机数发生器的噪声源,因为因为FPGA本身的特性–信号传输存在颤动,所以多个反相器组成振荡器输出也不是很安稳的时钟信号,每个振荡器输出不是相同的,这样成为了抱负的噪声源,见图1。振荡器输出经过D触发器进行采样输出,采样频率是fs,然后多个采样输出成果经过异或门之后再经过一个D触发器进行采样,采样频率仍是fs。这样简略的随机数发生器就完成了。

图1.随机数发生器原理图[1]

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/qiche/dianzi/179446.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部