您的位置 首页 元件

恣意波形发生器的规划电路图

任意波形发生器技术分类:测试与测量来源:电子产品世界/西北工业大学航海学院任绪科赵俊渭周明引言在电子工程设计与测试中,常常需要一些复杂的、具有特殊要求的信号,要求其波形可任意产生,频率方便…

恣意波形发生器

技能分类:测验与丈量来历:电子产品世界/ 西北工业大学 帆海学院 任绪科 赵俊渭 周明

导言

电子工程规划与测验中,常常需求一些杂乱的、具有特殊要求的信号,要求其波形可恣意发生,频率便利可调。一般的信号发生器难以满意要求,市场上出售的恣意信号发生器价格昂贵。结合实际需求,咱们规划了一种恣意波形发生器电路规划中充分使用MATLAB的仿真功用,将期望得到的波形信号在MATLAB中完结信号的发生、抽样和模数转化,并将得到的数字波形数据寄存在数据存储器中,经过单片机和CPLD操控,将波形数据读出,送入后向通道进行A/D转化和扩大处理后得到所需的模拟信号波形。使用上述办法规划的恣意波形发生器,信号发生灵敏便利、功用扩展灵敏、信号参数可调,完结了硬件电路的软件化规划。具有电路结构简略、实用性强、本钱低价等长处。


体系框图

&nb恣意波形发生器的规划思维,是使用MATLAB的强壮仿真功用,便利、便利的生成给定频率、周期、脉宽的恣意波形数据;并将数据预存在数据存储器中。在单片机操控下,使用CPLD电路发生地址读出数据,送入D/A转化电路,得到所需的恣意波形信号。体系结构框图如图1;图中分频电路和地址发生器由CPLD完结。

sp;

体系框图

图1 体系框图

电路规划及完结

单片机操控电路

单片机选用AT89C52芯片,经过软件编程发生所要求的操控信号。首要的操控参数包含:信号周期、脉宽;分频电路的开端信号、地址发生器的复位信号;E2PROM的选通信号;D/A转化电路的选通信号。在详细电路中,端口P1.0操控分频电路的发动、P1.1操控地址发生器的清零,P2.0操控28C256和AD7545的选通信号。单片机作业在定时器0办法,软件规划使用C言语完结。流程图如图2所示。

图2 软件流程图

波形数据生成

MATLAB作为一款优异的数学工具软件,具有强壮的运算功用;能够便利的发生各种信号波形,在软件中完结波形信号的发生、抽样和模数转化。规划的恣意波形发生器,数据存储器选用28C256芯片,信号波形经过MATLAB仿真发生;得到的波形数据寄存在数据存储器28C256中。详细规划中,咱们要求发生周期为200ms,脉宽为5ms的单/调频混合信号,其间单频信号的脉宽为4ms,频率为30KHz;调频信号的脉宽为1ms,频率为30KHz_35KHz。在MATLAB中设定抽样率为500KHz,得到了2500个波形数据。这些混合波形数据在烧录入数据存储器的过程中,因为波形数据较多,直接用手艺录入数据存储器中不只费时且简略犯错。为战胜这一坏处,经过MATLAB编程的办法将发生的波形数据依照HEX文件的INTEL格局寄存,然后将这些波形数据整批次烧录入数据存储器中。选用上述办法,波形数据生成简略,便利;可依据需求在软件程序中便利地修正信号参数;无需改动硬件电路即可完结信号参数的功用扩展。

CPLD逻辑规划

分频电路选用两片74HC163完结。经过分频电路,将12MHz的晶振规范频率分频后,得到500KHz的抽样频率,作为地址发生器的时钟。分频电路的作业由单片机操控。

地址发生器电路由3片74HC163组成,时钟频率为500KHz,有分频电路供给;和预存的波形数据抽样频率相一致,以完结数据的无失真读出。

电路规划中,选用ALTRA公司的EPM7128AETC100-10芯片,在MAX+PLUSⅡ开发环境中完结分频缏泛偷刂贩⑸ 鞯缏返纳杓啤; 贑PLD的电路规划,能够省去大部分的中小规划%&&&&&%和别离元件;使得电路具有集成度高、作业速度快、编程便利、价格低价的明显长处。经过CPLD和数据预生成的信号完结办法,无需改动硬件电路,即可完结信号参数的恣意调整;一起外围电路非常简略,为工程调试和使用带来了便利。

D/A转化电路

D/A转化电路的完结如图3所示。 电路中,AD7545将波形数据转化为模拟信号;LF353进行信号滤波和整形。

D

图3 D/A转化电路

结语

选用上述办法规划的恣意波形发生器,经过软件和硬件结合,充分发挥MATLAB强壮的仿真功用,尽可能的减少了硬件开支。依据实际需求,可发生正弦波、三角波、锯齿波、方波等多种波形,能够发生线性调频信号(LFM),单频脉冲信号(CW),余弦包络信号以及他们之间的组合信号等多种波形参数;满意了工程需求。该恣意波形发生器已使用于在研项目“水中运动方针轨道丈量”中,作用杰出。

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/xinpin/yuanjian/277627.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部