您的位置 首页 应用

经典的verilog键盘扫描程序

拿到威百仕(VibesIC)的板子后就迫不及待的开始我的学习计划,从最基础的分频程序开始,但看到这个键盘扫描程序后,直呼经典,有相见恨

拿到威百仕( VibesIC )的板子后就刻不容缓的开端我的学习方案,从最根底的分频程序开端,但看到这个键盘扫描程序后,直呼经典,有相见恨晚的感觉,还想说一句:威百仕( VibesIC ),我很看好你!WHY?待我渐渐道来,这个程序的归纳后是0error,0warning。想想自己编码的时分那个warning是满天飞,现在才理解HDL规划有那么考究了,代码所规划的不只仅是简略的逻辑以及时序的联系,更重要的是你要在代码中不只要表现出每一个寄存器,乃至每一个走线。想想我写过的代码,只留意到了前者,从没有留意过后者,还洋洋自得认为自己也算是个高手了,现在想来,真实羞愧啊!学习学习在学习,这也从头激发了我对HDL规划的热情,威百仕给了我一个方向,那我可要开端尽力喽!

废话说了一大堆,看程序吧:(本代码经过ise7.1i归纳并下载到SP306板上验证经过)
//当三个独立按键的某一个被按下后,相应的LED被点亮;再次按下后,LED平息,按键操控LED亮灭
`timescale 1ns/1ns
module keyscan(
clk,
rst_n,
sw1_n,
sw2_n,
sw3_n,
//output
led_d3,
led_d4,
led_d5
);
input clk; //主时钟信号,48MHz
input rst_n; //复位信号,低有用
input sw1_n,sw2_n,sw3_n; //三个独立按键,低表明按下
output led_d3,led_d4,led_d5; //发光二极管,分别由按键操控
// —————————————————————————
reg [19:0] cnt; //计数寄存器
always @ (posedge clk or negedge rst_n)
if (!rst_n) //异步复位
cnt <= 20d0;
else
cnt <= cnt + 1b1;
reg [2:0] low_sw;
always @(posedge clk or negedge rst_n)
if (!rst_n)
low_sw <= 3b111;
else if (cnt == 20hfffff) //满20ms,将按键值锁存到寄存器low_sw中
low_sw <= {sw3_n,sw2_n,sw1_n};
// —————————————————————————
reg [2:0] low_sw_r; //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中
always @ ( posedge clk or negedge rst_n )
if (!rst_n)
low_sw_r <= 3b111;
else
low_sw_r <= low_sw;
//当寄存器low_sw由1变为0时,led_ctrl的值变为高,保持一个时钟周期
wire [2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);
reg d1;
reg d2;
reg d3;
always @ (posedge clk or negedge rst_n)
if (!rst_n)
begin
d1 <= 1b0;
d2 <= 1b0;
d3 <= 1b0;
end
else
begin //某个按键值变化时,LED将做亮灭翻转
if ( led_ctrl[0] ) d1 <= ~d1;
if ( led_ctrl[1] ) d2 <= ~d2;
if ( led_ctrl[2] ) d3 <= ~d3;
end
assign led_d5 = d1 ? 1b1 : 1b0; //LED翻转输出
assign led_d3 = d2 ? 1b1 : 1b0;
assign led_d4 = d3 ? 1b1 : 1b0;
endmodule
或许初看起来这段代码如同有点费劲,许多的always许多的wire啊,而咱们一般用得最多的判别搬运如同不是干流。的确是这样,一个好的verilog代码,用多个always句子来分摊一个大的always来履行,会使得归纳起来更快,这也是接前两篇日志提到代码优化的一个值得学习的方面。其次是wire连线许多,你要是细心研讨代码,不难发现一切的锁存器的连线联系编程者都考虑到了,这样就不会无缘无故的生成意想不到的寄存器了,这也是一个优异代码的必备要素。
上面说的是代码风格,下面就看程序的编程思维吧。前两个always句子里其实是做了一个20ms的计数,每隔20ms就会读取键值,把这个键值放到寄存器low_sw中,接下来的一个always句子便是把low_sw的值锁存到low_sw_r里,这样以来,low_sw和low_sw_r便是前后两个时钟周期里的键值了,为什么要这样呢?看下一个句子吧:
wire [2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);
细心分析,你会发现当没有键按下时,low_sw=low_sw_r=3’b111,此刻的led_ctrl=3’b000;只有当low_sw和low_sw_r的某一位分别为0和1时,才可能使led_ctrl的值改动(也便是把led_ctrl的某一位拉高)。那么这意味着当键值由1跳变到0时才可能把led_ctrl拉高。回忆前面的20ms赋键值,也便是说每20ms内假如呈现按键被按下,那么有一个时钟周期里led_ctrl是会被拉高的,而再看后边的程序,led_ctrl的置高就使得相应的LED灯的亮灭做一次改动,这就达到了意图。

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/yingyong/256491.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部