您的位置 首页 基础

在体系规划中的怎么挑选半导体器材:ASIC,仍是FPGA?

作为一个系统设计工程师,经常会遇到这个问题:是选用ASIC还是FPGA?让我们来看一看这两者有什么不同。所谓ASIC,是专用集成电路(Application Specific Integrated

作为一个体系规划工程师,经常会遇到这个问题:是选用ASIC仍是FPGA?让咱们来看一看这两者有什么不同。

所谓ASIC,是专用集成电路(Application Specific Integrated Circuit)的简称,电子产品中,运用十分广泛。ASIC的功用是固定的,它是为了专注功用而生。

FPGA 取自Field Programmable Gate Array,译为“现场(Field)可编程(Programmable)逻辑阵列(Gate Array)”。FPGA是可以重复编程的逻辑器材。简单说,用户可通过硬件描绘言语完结的电路规划,再经归纳与布局,可发生数据流文件,最终编程下载到 FPGA 上进行测验。这个流程与ASlC在前面的流程根本共同,后边ASlC则是生成掩模,投片出产晶圆,封装和测验,拿到专用的芯片。

选用FPGA规划,用户不需要投片出产,就能得到合用的芯片。 FPGA与ASIC电路规划比较,周期短、危险小。所以用户要考虑的要素主要是出产本钱。在评论本钱要素曾经,先讲一讲常听到的有关FPGA的几个误区:

1)“忧虑产品安全性,现在干流的FPGA都是用片外的PROM或许FLASH存储代码,上电时从片外存储器读入到内部SRAM的方法,这种方法代码很简单被复制。”

事实上现在商场上一切运用片外存储器读入到内部SRAM或许运用外部CPU对器材编程的FPGA都供给编程数据流文件加密功用。以高云的GW2A宗族为例,器材上供给了128位的非易失性存储器做为用户密钥。编程数据流文件在由软件东西生成的时分用户可以挑选运用密钥对其加密。加密算法为世界遍及运用的算法。在FPGA器材收到加密的数据流文件时会主动解密并加载到器材中。整个进程十分安全。可以想像现在在华尔街的金融机构现已用FPGA构建体系来替代大型计算机,假如没有过硬的安全认可,是难以想象的。

2)“由所以选用SRAM的方法来履行逻辑,在遭到强搅扰,辐射等恶劣条件影响下,内部的逻辑位有或许发生一次性改变,有或许导致逻辑功用的失效,仅有康复的方法便是从头上电。这关于那些对安全性,可靠性有要求的运用来说特别晦气。”

在特别条件下作业的FPGA有很多种方法来应对强搅扰,辐射等恶劣条件影响。而这些影响关于ASIC器材也是相同的,仅仅程度上有些差异。常用的方法有选用特别工艺如Silicon On Insulator(SOI)或许用规划方法加固SRAM单元。事实上在航空,航天等恶劣条件下作业的体系正在广泛运用FPGA。

3)“FPGA具有相对高得多的功耗,约束了产品的运用规划,添加了产品电源规划的难度及本钱。”-

在近年发布的FPGA产品中有一个重要分支便是低功耗FPGA。方针商场是手机,可穿戴设备等移动设备。现在听到多个成功运用的案例如谷歌眼镜。总归FPGA产品多样化。可以在规划,功用,功能,及功耗中挑选到最适合你的体系规划

现在咱们看一看本钱的比较。有这样一个误区:“为了到达相同的体系功能,FPGA有必要挑选比ASIC更先进的工艺。这也就意味着FPGA 硅片运用本钱远高于ASIC。”让咱们看一看它们的本钱有哪些。前面咱们现已提到了FPGA与ASIC前面的规划流程是相同的,咱们可以简化这个比较,以为两者的研制本钱相同。(实际上是有差异的,主要是东西价格不同很大。FPGA东西根本上都是厂家免费的,而ASIC的规划东西动辄百万美元。)在规划完结之后,FPGA这时的本钱便是器材的单个本钱了。应该包含厂家晶圆,封装和测验费用再加上毛利。ASIC这时的本钱要包含一次性工程费用(英文:Non-recurring engineering,NRE)和芯片本钱,应该包含厂家晶圆,封装和测验费。咱们会发现,全体本钱可以用下图来表明:

ASIC,FPGA本钱的比较

从上图可以看出,FPGA因为没有NRE,在用量较小的时分本钱优势显着。因为FPGA单个芯片本钱高于ASIC,所以有较大斜率。在决定点方位今后,ASIC开端有本钱优势。所以从本钱因速考虑选用FPGA仍是ASIC,与用量有很大联系。

上图也显现了半导体工艺前进的影响。芯片的密度在依据摩尔定律(Moore’s Law)添加,这是因为晶圆制作更前端的掩膜(Mask)本钱成指数级上升,晶圆制作更后端的封装本钱、人力本钱要么不变,要么因为芯片复杂度添加。关于一个受管脚数目约束的芯片,单个FPGA的本钱现已与ASIC相同了。这样就造成了上图虚线所代表的新的本钱线。咱们可以看到“决定点”在敏捷上移。这就意味着FPGA的运用空间在不断扩大。

依据IDC的调查报告,2011年选用ASIC的规划削减至2,313项,较2002 年下滑了6%。特别是在有线通讯规划范畴,2011年的ASIC仅442项,显着削减了近两倍,并较2002年更少11%。而另一项由Altera供给的研讨,一家商场研讨公司估量开发一款28纳米ASIC的本钱约8千万美元,而一款20纳米AS%&&&&&%的开发本钱更高达1亿600千万美元。

高云的GW2A宗族特别把下降用户运用本钱作为优先考虑。在平等密度的FPGA中供给了最多IO数。成为业界的领先者。器材选用台积电(TSMC)的55纳米工艺,逻辑单元从18K LUT到55K LUT,多达5兆位的存贮器块可以供给多种形式、多种深宽度装备及单双端口的读写操作;多达80个18X18的DSP模块,可进行高速的加法、减法、乘法及累积算法;498个数字单端输入输出,可支撑从1.2V到3.3V的输出电压,驱动电流可装备,多种广泛运用的输入输出协议如LVTTL、 LVCOMS、PCI、STL、SSTL、RSDS、LVDS等;多达8个通用锁相环作业规划从3MHz到500MHz并供给多种用户时钟操作形式;动态 I/O bank控制器的独立模块的待机作业形式以及更低的作业电压;支撑广泛的接口标准,包含DDR2、DDR3、ADC、视频、SPI4等。可供给多种封装,包含:PBGA256、PBGA484、PBGA672、PBGA1156,将来可依据用户需求,供给更多封装类型。

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/zhishi/jichu/288828.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部