您的位置 首页 数字

开关操控数码管的VHDL程序的规划与完成

开关控制数码管的VHDL程序的设计与实现-本文主要介绍了开关控制数码管的VHDL程序的设计与实现。VHDL是一种应用广泛的硬件描述语言,设计者可以通过它编写代码,通过模拟器仿真验证其功能,完成逻辑综合与逻辑优化,最后通过下载到相应的可编程逻辑器件(如FPGA)中来实现设计。所编写程序经过下载到所用实验箱后,当分别拨动开关sw0—sw7时,在LED数码管上分别显示数字1—8,并且蜂鸣器发出声响

VHDL是一种运用广泛的硬件描绘言语,规划者能够经过它编写代码,经过模拟器仿真验证其功用,完结逻辑归纳与逻辑优化,最终经过下载到相应的可编程逻辑器材(如FPGA)中来完结规划。本规划是运用Quartus II软件,选用VHDL言语规划一个用8个开关对应8个数字显现。按sw0到sw7能够显现1到8的数值,并在挑选开关时宣布动静。完结后下载到试验箱,完结规划功用。

1、Quartus II简介

Max+plus II 作为Altera的上一代PLD规划软件,因为其超卓的易用性而得到了广泛的运用。现在Altera现已中止了对Max+plus II 的更新支撑。Quartus II 是Altera公司继Max+plus II之后开发的一种针对其公司出产的系列CPLD/PGFA器材的归纳性开发软件,它的版别不断晋级,从4.0版到10.0版,该软件有如下几个明显的特色:

1)Quartus II 的长处

该软件界面友爱,运用快捷,功用强大,是一个彻底集成化的可编程逻辑规划环境,是先进的EDA东西软件。该软件具有开放性、与结构无关、多渠道、彻底集成化、丰厚的规划库、模块化东西等特色,支撑原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware DescripTIon Language)等多种规划输入方法,内嵌自有的归纳器以及仿真器,能够完结从规划输入到硬件装备的完好PLD规划流程。

Quartus II能够在XP、Linux以及Unix上运用,除了能够运用Tcl脚本完结规划流程外,供给了完善的用户图形界面规划方法。具有运转速度快,界面一致,功用会集,易学易用等特色。

2)Quartus II对器材的支撑

Quartus II支撑Altera公司的MAX 3000A系列、MAX 7000系列、MAX 9000系列、ACEX 1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K系列,支撑MAX7000/MAX3000等乘积项器材。支撑MAX II CPLD系列、Cyclone系列、Cyclone II、StraTIx II系列、StraTIx GX系列等。支撑IP核,包含了LPM/MegaFuncTIon宏功用模块库,用户能够充分运用老练的模块,简化了规划的复杂性、加快了规划速度。此外,Quartus II 经过和DSP Builder东西与Matlab/Simulink相结合,能够方便地完结各种DSP运用体系;支撑Altera的片上可编程体系(SOPC)开发,集体系级规划、嵌入式软件开发、可编程逻辑规划于一体,是一种归纳性的开发渠道。

3)Quartus II对第三方EDA东西的支撑

对第三方EDA东西的杰出支撑也运用户能够在规划流程的各个阶段运用了解的第三放EDA东西。

Altera的Quartus II可编程逻辑软件归于第四代PLD开发渠道。该渠道支撑一个工作组环境下的规划要求,其间包含支撑根据Internet的协作规划。Quartus渠道与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供货商的开发东西相兼容。改进了软件的LogicLock模块规划功用,增加 了FastFit编译选项,推进了网络修正功用,而且提升了调试才能。

1.2、VHDL简介

在传统的硬件电路规划中,首要的规划文件是电路原理图,而选用HDL规划体系硬件电路时首要运用HDL编写源程序。所谓硬件描绘言语HDL(HardwareDes cription Language),便是该言语能够描绘硬件电路的功用,信号衔接联系及守时联系。许多公司开发了自己专有的HDL,包含Zycad公司的ISP,Gateway DesignAutomation公司的Verilog以及Mentor Graphics公司的BLM。其间,Silicon Compiler公司的M及Gateway公司的Verilog以C言语为根底。UDL/I在日本以规范HDL的方法呈现。多年来规划者一向运用这些专用的HDL。1982年,各ASIC芯片厂商相继开发了用于各自意图的HDL。1987年末,IEEE承认美国国防部开发的VHDL为规范硬件描绘言语(IEEE.1076)。之后,各EDA公司研发的硬件电路规划东西逐步向VHDL挨近,VHDL在电子规划范畴得到广泛的承受,1993年,IEEE对VHDL进行了修订,发布了新版别的VHDL(即IEEE.1076.1993)。现在,VHDL和Verilog作为IEEE的工业规范硬件描绘言语,在电子工程范畴,从各公司的规划人员到各大学的教授、学生,都极端注重对其的学习研讨,VHDL已成为事实上的通用硬件描绘言语。有专家以为,在21世纪中,简直悉数的数字体系规划使命将由VHDL与Verilog言语承当,VHDL将是电子工程规划人员的必备常识。VHDL和其他言语比较,最大的差异在于规划方法上的不同。

VHDL的首要长处有:

(1)VHDL支撑自顶至下的和根据库的规划方法,而且支撑同步电路、异步电路、现场可编程门阵列器材FPGA(field programmable gate array)以及其他随机电路的规划。VHDL具有比其他硬件描绘言语更强的行为描绘才能,根据笼统的行为描绘风格避开了详细的器材结构,使规划人员能从逻辑行为上描绘和规划大规划电子体系。现在盛行的EDA东西和VHDL归纳器大都能完结行为描绘到RTL(Register Transfer Level)描绘的转化。

(2)VHDL句子的行为描绘才能和程序结构决议了它具有支撑大规划规划的分化和已有规划再运用的功用,它支撑体系的数学模型直到门级电路的描绘,而且高层次的行为描绘与低层次的门级电路描绘、结构描绘能够混合运用。这些特色契合IC规划的商场要求。VHDL支撑体系级描绘,这是它优于其他VHDL的最重要的特色。例如,Verilog言语是一种门级电路描绘言语,其风格接近于电路原理图,规划者需求搞清楚详细的电路结构的细节,因而工作量一般较大。VHDL言语却最适合于描绘电路的行为,即描绘电路的功用,然后由归纳器来生成契合要求的电路网络。规划者在了解根本单元电路的描绘风格,堆集必定的规划经历后,就会为用VHDL规划平等功用电路的高效率所鼓动。

(3)VHDL的硬件描绘与详细的工艺技术和硬件结构无关,当门级或门级以上的描绘经过仿真查验后,再运用相应的东西将规划映射成不同的工艺,因而电路的规划与工艺的改动是互相独立的。互相的改动不会发生不良影响,而且VHDL硬件描绘言语的完结目标器材的挑选规划广泛,可运用各系列的CPLD、FPGA及各种门阵列器材。

(4)VHDL具有类属描绘句子和子程序调用等功用,关于己完结的规划源程序,能够经过修正类属参数表和函数的方法来改动规划的规划和结构。VHDL具有丰厚的仿真句子和库函数,使得门电路级的功用仿真、查看成为可能,使规划者对整个工程规划的结构和功用的可行性做出决议计划。

(5)VHDL作为一种IEEE的工业规范,使VHDL的规划效果便于重复运用和沟通。这就更进一步推动了VHDL言语的推行及完善。别的,因为其语法严厉,给阅览和运用带来极大的便当。

2、硬件规划

本规划硬件渠道选用武汉理工大学EDA试验箱,如下图所示。

开关操控数码管的VHDL程序的规划与完结

所用到的部分有LED数码管、开关、蜂鸣器等,详细衔接如下。

data_o[0] PIN_103 key[0] PIN_49

data_o[1] PIN_100 key[1] PIN_50

data_o[2] PIN_99 key[2] PIN_51

data_o[3] PIN_98 key[3] PIN_52

data_o[4] PIN_97 key[4] PIN_53

data_o[5] PIN_96 key[5] PIN_54

data_o[6] PIN_94 key[6] PIN_55

data_o[7] PIN_91 key[7] PIN_56

l[0] PIN_83 clk PIN_16

l[1] PIN_84 bell PIN_78

l[2] PIN_85

其间data_o[0]—data_o[7]为每个LED数码管0-7的段选,key[0]—key[7]为操控开关0-7,l[0]—l[3]操控8个LED数码管,clk为时钟输入,bell为蜂鸣器

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/zhishi/shuzi/341205.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部