您的位置 首页 设计

半加器的VHDL程序

半加器1LIBRARYIEEE;USEIEEESTD_LOGIC_1164ALL;ENTITYhalfadderISPORT(A,B:INSTD_LOGIC;Co:outSTD_LOGIC;S:out

半加器1

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY halfadder IS
PORT (A,B:IN STD_LOGIC;
Co: out STD_LOGIC;
S: out STD_LOGIC);
end halfadder;
ARCHITECTURE rtl OF halfadder IS
BEGIN
S <=A XOR B;
Co <=A AND B;
END rtl;

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/ziliao/sheji/318534.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部