您的位置 首页 培训

SystemC与SystemVerilog的比较

就 SystemC 和 SystemVerilog 这两种语言而言, SystemC 是C++在硬件支持方面的扩展,而 SystemVerilog 则继承了 Verilog,并对 Verilog 在面

就 SystemC 和 SystemVerilog 这两种言语而言, SystemC 是C++在硬件支撑方面的扩展,而 SystemVerilog 则承继了 Verilog,并对 Verilog 在面向对象和验证才能方面进行了扩展。这两种言语均支撑比如信号、事情、接口和面向对象的概念,但每一种言语又均具有自己清晰的运用要点:

(1) SystemC 特别适宜建模体系结构,开发事务处理级(TL)模型和在验证中描绘软件的行为。关于具有很强C++实力的团队和有根据C/C++ IP 集成要求(如处理器仿真器),以及为前期软件开发规划的虚拟原型来说, SystemC 特别适宜。

(2) SystemVerilog 是进行RTL规划的最佳言语,不只在于其描绘实在硬件和断语的才能,还在于对东西支撑方面的考虑。一起, SystemVerilog 也供给了建模笼统模型和先进的验证渠道言语特征,例如受限制随机鼓励生成、功用掩盖或断语。关于那些没有C/C++ IP 集成要求的项目来讲比较适宜,究竟能够运用一种言语完结悉数规划。

当然, SystemC 能够用于验证渠道和描绘RTL结构,而 SystemVerilog 也能够用于编写高层事务处理级模型。可是,每一种言语都用于自己的要点运用时,它们能够到达最佳的功率。这点关于杂乱的项目特别适用,在这种项目中,不同的使命分归于不同的组,一般有不同的技能要求。注重实效的解决方案以及契合规划团队的多种技能要求的办法是一起运用 SystemC 和 SystemVerilog 来开发和验证当今规划流程需求的虚拟原型的事务处理级模型。

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/changshang/peixun/196846.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部