您的位置 首页 电源

一种根据FPGA“乒乓球竞赛游戏机”的规划

可编程逻辑器件FPGA以其开发周期短、成本低、功耗低、可靠性高等优势,广泛应用于通信、航空、医疗等领域,近年来在消费电子领域中的应用也日渐增加。为进一步挖掘FPGA在家庭娱乐如游戏机开发与应用中的巨大

可编程逻辑器件FPGA以其开发周期短、成本低、功耗低、可靠性高级优势,广泛使用于通讯、航空、医疗等范畴,近年来在消费电子范畴中的使用也日渐添加。为进一步发掘FPGA在家庭文娱如游戏机开发与使用中的巨大商机,介绍了一款以Altera公司FP-GA芯片为操控中心,附加少数外围电路组成的乒乓球竞赛游戏机。整个体系规划模块区分明晰:包含裁判端、选手端、操控端、显现端及模仿乒乓球台;功用完全:包含发球权操控、犯规提示、局数比分显现等,模仿实践乒乓球竞赛类似程度高。选用了VHDL言语编程完成,在QuartusⅡ8.1集成环境下进行了模仿仿真,成果表明在设定的竞赛规矩下,游戏机运转正常,经过进一步优化可将其商品化,推入商场。

1 体系组成

乒乓球竞赛游戏机的组成如图1所示。竞赛规矩约好:五局三胜;11分一局;裁判宣布竞赛开端信号,触发FPGA内部随机数发生器模块发生初次发球权方;竞赛进行中,选手接连两次取得发球权后,发球权交予对方,如未获发球权方发球,裁判端犯规音响电路鸣响;13个LED摆放成行模仿乒乓球台;点亮的LED模仿乒乓球,受FPGA操控从左到右或从右到左移动;竞赛选手经过按钮输入模仿击球信号,完成LED移位方向的操控;若发亮的LED运动在球台中点至对方结尾之间时,对方未能及时按下击球按钮使其向相反方向移动,即失掉一分。

2 功用模块规划

图1中,根据FPGA规划的操控端为整个体系的中心,其内部主要由简易随机数发生器、发球权操控器、乒乓球方位操控器、甲乙方计分操控器、犯规音响操控器等模块组成。整个操控端选用模块化规划,先用VHDL言语编写功用模块,然后用顶层原理图将各功用模块连接起来。规划的难点在于和谐各模块作业,严格恪守各信号间时序联系。本体系选用1 kHz体系时钟。

2.1 简易随机数发生器

竞赛初次发球权由随机数发生器发生的数据决议,其随机性要求不严,因而,选用十分简略的形式发生,即一旦FPGA上电,体系时钟百分频发生一方波信号square,当裁判闭合开端竞赛开关发生start信号上升沿时,读取此刻square信号值作为随机数发生器输出randq。模块仿真如图2所示,成果满意规划要求。此模块规划时确保了square信号周期应远大于start信号上升沿树立时刻,确保随机数据的正确读取。

2.2 发球权操控器

发球权操控器的操控进程为:假如按下复位按钮,发球权数码管显现8,不然,开端竞赛开关闭合时,显现随机数发生器的值(0或1,0代表甲方、1代表乙方)。而在竞赛中,为恪守发球权交流规矩,规划甲乙双方计分器总和信号sum_sc是不为0的偶数时(即计分总和最低位sum_sc0下降沿到来时),发球权数码管显现由0变为1或由1变为0。

此模块规划中,发球权数码管的信号操控受多个时钟的操控,即开端竞赛开关start和计分值sum_sc0信号,这在VHDL编程言语中无法用一个进程完成,必须将两个信号组合成一个时钟信号,并一致两个时钟的触发沿。因而最佳时钟触发方法如图3所示的fqq_en信号。为满意这种时序要求,凭借计分总和次低位sum_sc1信号规划entity sum_sc_mod2,因为start和sum_sc1的频率都远低于体系时钟信号clk频率,则可凭借clk高频信号捕捉其边缘发生新的时钟信号fqq_en,并发生其计数值,仿真波形如图4(a)所示。为确保发球权数码管显现正确,规划entitv led_fqq_ctl在fqq_en下降沿时,根据其计数值发生相应的数码管输出信号led_fqq,仿真波形如图4(b)所示。

发球权操控器的VHDL中心程序如下:

2.3 乒乓球方位操控、甲乙计分、犯规音响操控

乒乓球方位操控电路为FPGA操控端的中心,根据竞赛规矩,选用了Mealy型状况机来完成,大大降低了规划难度。状况机共界说了7个状况,各状况界说如表1所示,状况转化如图5所示,转化条件如表2所示,详细程序如下。

3 顶层模块仿真测验

因为篇幅约束,本体系中的分频器、译码器等常用模块的规划就不再此赘述,终究顶层原理图规划如图6所示,仿真波形如图7所示,剖析波形可知,图中开端竞赛信号发生后,初次发球权方为乙方,甲方发球形成犯规音响电路鸣响,即speaker信号为高电平,然后乙方发球,乒乓球顺次移位,甲方接球成功后乙方未接球成功,甲方得分,cnta信号为“0110000”,留意,此处输出为驱动数码管输出信号,代表数字“1”。经过仿真可知,该体系规划满意游戏机竞赛规矩要求。

4 结语

选用VHDL言语编程,根据FPGA成功规划了一款乒乓球竞赛游戏机,经过仿真验证可知,成果满意规划需求,体系具有发球权操控、主动计分、犯规提示等多种功用,能有用模仿实践乒乓球竞赛。该体系进一步改善思路为:改用人体感应传感器来收集击球信号,选用FPGA发生视频信号传送到电视机或监视器,更直观地展现乒乓球运动轨道,然后真实完成人机互动,优化虚拟作用。

发布者:小宇

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/dianyuan/192272.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部