您的位置 首页 FPGA

根据VHDL的组合逻辑电路的规划、仿真

基于VHDL的组合逻辑电路的设计、仿真-完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤。最后在实验系统上进行硬件测试,验证本项设计的功能。

一、试验意图

了解QuartusⅡ的VHDL文本规划进程,学习简略组合逻辑电路的规划、仿真和测验办法。

二、试验内容

1. 根本出题

完结2选1多路挑选器的文本修改输入(mux21a.vhd)和仿真测验等进程。最终在试验体系上进行硬件测验,验证本项规划的功用。

2. 扩展出题

将规划的2选1多路挑选器看成是一个元件mux21a,运用元件例化句子规划能够满意图3-1所示仿真波形的逻辑功用的双2选1多路挑选器。

clip_image002

图3-1 仿真波形

三、试验仪器与器材

计算机1台,GW48-PK2S试验箱1台,QuartusⅡ6.0 1套。

四、试验

将试验原理、规划进程、仿真进程、仿真波形和剖析成果、硬件测验试验成果写进试验报告。

2选1多路挑选器规划

① 试验原理

由数电常识可知,2选1多路挑选器由2个数据输进口(IN0,IN1),1个数据挑选端(S1),一个输出口(COUTY)构成。本程序经过判别数据挑选端(S1)的取值,将对应的数据输进口(IN0或IN1)输出。

② 程序规划

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY mux21a IS

PORT ( IN0,IN1,S1:in std_logic;

COUTY : OUT STD_LOGIC);

END mux21a;

ARCHITECTURE behav OF mux21a IS

BEGIN

COUTY《=IN0 when S1=‘0’ ELSE IN1;

END behav;

③ mux21a仿真波形图

为了使仿真成果更为直观,咱们将IN0设为高电平,IN1设为低电平。

根据VHDL的组合逻辑电路的规划、仿真

图1 mux21a仿真波形图

由图1可知,咱们可知当S1处于高电平时,COUNT输出IN1;反之,输出IN0.所以所规划的试验能够满意要求。

双2选1多路挑选器

① 试验原理

本试验中直接运用上题的mux21a。由上题可知,mux21a由2个数据输进口(IN0,IN1),1个数据挑选端(S1),一个输出口(COUTY)构成。设有两个mux21a,别离为U1和U2。为了充分运用U1和U2的数据挑选端,咱们将U1的输出口(COUNT)接到U2的输进口IN0,这样U2经过本身的挑选端(S1)就能够挑选U1的输出或则自己IN0的输入数据,完结2选1挑选器到双2选1多路挑选器的扩展。(如图2所示)

根据VHDL的组合逻辑电路的规划、仿真

图2 双二选一多路挑选器

② 程序规划

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY dmux21a IS

PORT ( IN0,IN1,IN2,S0,S1:in STD_LOGIC;

COUTY : OUT STD_LOGIC);

END dmux21a;

ARCHITECTURE BHV OF dmux21a IS

COMPONENT MUX21A

PORT(IN0,IN1,S1:IN STD_LOGIC;

COUTY :OUT STD_LOGIC);

END COMPONENT;

SIGNAL tmp : STD_LOGIC;

BEGIN

u1 : MUX21A PORT MAP(IN0, IN1, S0, tmp);

u2 : MUX21A PORT MAP(IN2, tmp, S1, COUTY);

END BHV;

③ dmux21a 仿真波形图

根据VHDL的组合逻辑电路的规划、仿真

图3dmux21a仿真波形图

波形图猜测:当S1=0时输出IN2的波形;当S1=1,S0=1,输出IN1的波形;当S1=1,S0=0,输出IN0的波形。

由波形图可知,政策成果与猜测成果共同。

(2)进行本试验引脚锁守时,主张挑选试验形式5(No.5),用键1(PIO0)操控端s0;用键2(PIO1)操控端s1;a3、a2、a1别离接clock5、clock0和clock2;输出信号y接扬声器spkert。经过短路帽挑选clock0接256Hz信号,clock5接1024kHz信号,clock2接8kHz信号。最终进行修改、下载和硬件测验试验(经过挑选键1、键2、操控s0、s1,可使扬声器输出不同腔调)。

表1-1 dmux21a在GWAC6板上方针芯片EP1C6Q240C8的引脚确定信息

端口称号端口符号GWAC6板输入输出元件GWAC6板接口方针器材引脚补白

操控端s0s0键1PIO0233形式No.5

操控端s1S1键2PIO1234

输入信号3IN2clock5clock5152

输入信号2IN1Clock0Clock0153

输入信号1IN0Clock2Clock228

扬声器y扬声器SPEAKER174

如表1所示完结元件dmux21a与试验板引脚的绑定。如图4所示

根据VHDL的组合逻辑电路的规划、仿真

图4 dmux21a与试验板引脚的绑定

五、试验思考题

比较图形修改输入与文本修改输入的首要不同,谈谈例化句子的效果及应用时应留意的问题。

1、比较图形修改输入与文本修改输入的首要不同

运用图形修改法的话,咱们需求对逻辑运算与硬件结构对应起来,也便是要懂得将逻辑运算转化成硬件电路,这需求有较为厚实的硬件功底。运用文本修改输入的话,咱们只需求懂得用VHDL表达逻辑运算,而不用关怀硬件电路的组成,这能够减轻许多硬件规划方面的功夫(接线,选用元器材等)。

2、例化句子的效果及应用时应留意的问题

1) 例化句子的效果是:引进另一个现已规划好的组件。

2) 应用时应留意的问题:

① 要记住将原先现已规划好的原件放在工程底下。关于本体来说是mux21a。

② 要将引进的元件与尖端元件的引脚逐个匹配,有需求的话,运用信号(SINGAL)进行音讯的传递。

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/fangan/fpga/106741.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部