您的位置 首页 应用

FPGA差分信号缓冲的转化(IBUFDS、IBUFGDS和OBUFDS)

IBUFDS、IBUFGDS和OBUFDS都是差分信号缓冲器,用于不同电平接口之间的缓冲和转换。IBUFDS是差分输入的时候用;OBUFDS是差分输出的时候用;IBUFGDS 则是时

IBUFDS、IBUFGDS和OBUFDS都是差分信号缓冲器,用于不同电平接口之间的缓冲和转化。

IBUFDS

是差分输入的时分用;

OBUFDS

是差分输出的时分用;

IBUFGDS 则是时钟信号专用的输入缓冲器。

下面具体阐明:

IBUFDS(Differential

Signaling Input Buffer with Selectable I/O Interface)

//差分输入时钟缓冲器

IBUFDS是一个输入缓冲器,支撑低压差分信号(如LVCMOS、LVDS等)。在IBUFDS中,一个电平接口用两个共同的电平接口(I和IB)表明。一个能够认为是主信号,另一个能够认为是从信号。主信号和从信号是同一个逻辑信号,可是相位相反。

input input output
I IB O
0 0 No change
0 1 0
1 0 1
1 1 No change

IBUFDS instance_name (.O (user_O), .I (user_I), .IB (user_IB));

OBUFDS(Differential

Signaling Output Buffer with Selectable I/O Interface)

//差分输出时钟缓冲器

OBUFDS是一个输出缓冲器,支撑低压差分信号。OBUFDS阻隔出了内电路并向芯片上的信号供给驱动电流。它的输出用O和OB两个独立接口表明。一个能够认为是主信号,另一个能够认为是从信号。主信号和从信号是同一个逻辑信号,可是,相位相反。

input input output
I IB O
0 0 No change
0 1 0
1 0 1
1 1 No change

OBUFDS instance_name (.O (user_O), .I (user_I), .IB (user_IB));

IBUFGDS(Dedicated

Differential Signaling Input Buffer with Selectable I/O Interface)

//专用差分输入时钟缓冲器

IBUFGDS是一个衔接时钟信号BUFG或DCM的专用的差分信号输入缓冲器。在IBUFGDS中,一个电平接口用两个独立的电平接口(I和IB)表明。一个能够认为是主信号,另一个能够认为是从信号。主信号和从信号是同一个逻辑信号,可是相位相反。

input input output
I IB O
0 0 No change
0 1 0
1 0 1
1 1 No change

IBUFGDS instance_name (.O (user_O), .I (user_I), .IB (user_IB));

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/yingyong/156507.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部