您的位置 首页 动态

具体解说根据FSM的电梯控制系统的规划与完成

详细讲解基于FSM的电梯控制系统的设计与实现-Max+PlusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,它可独立完成简单VHDL程序的编译。然而,自动电梯控制程序是一个复杂的状态机描述,Max+PlusⅡ无法独立完成该程序的综合编译。

1 导言

Max+PlusⅡ是Altera公司供给的FPGA/CPLD开发集成环境,它可独立完结简略VHDL程序的编译。可是,主动电梯操控程序是一个杂乱的状况机描绘,Max+PlusⅡ无法独立完结该程序的归纳编译。Synplify Pro是 Synplicity 公司针对杂乱可编程逻辑规划的 FPGA 归纳东西,它带来了无与伦比的电路功用和最有用的可编程规划的资源利用率,所独有的对电路的调试与优化功用和极快的运算速度使之成为了业界倍受欢迎的的归纳东西。Synplify pro所特有的FSM归纳器能够主动识别有限状况机并依据约束条件挑选最佳的编码办法。经过Max+PlusⅡ中的接口,把Synplify pro 用于对电梯操控程序的归纳与优化,可大大提高规划功率,仿真和试验成果证明了该办法的有用性。

2 体系规划

2.1 体系的全体规划

在电梯操控体系的规划中,中心是电梯运转的状况操控器的规划。为杰出状况之间的转化联系,令电梯以同一速率升降。首要需求考虑:(1)电梯的人工手动操控;(2)电梯的运转状况显现;(3)电梯的安全性操控;(4)电梯的战略操控规划。

电梯状况操控器部分用状况机来完结。根本模型如下:(1) 每一层电梯的入口处设有上下恳求开关,电梯内设有顾客抵达层次的停站恳求开关;(2)设有电梯所在方位指示设备以及电梯运转形式(上升或下降)指示设备;(3)电梯初始状况为第一层开门,电梯每一秒升(降)一层楼;(4)规划一个异步的置位端口,用于在体系不正常的时分回到初始状况;(5)电梯抵达有停站恳求楼层,经过1 秒电梯门翻开,开门4 秒后,电梯门封闭(开门指示灯平息),电梯持续运转,直至履行完最终一个恳求信号后逗留在当时楼层;(6)能回忆电梯表里的一切恳求信号,并依照电梯运转规矩按次序呼应,每个恳求信号保存至履行完后消除;(7)电梯运转规矩:当电梯处于上升形式时,只呼应比电梯所在方位高的上楼恳求信号,由下而上逐一履行,直到最终一个上楼恳求履行结束;假如高层有下楼恳求,则直接升至有下楼恳求的最高楼层,然后进入下降形式。当电梯处于下降形式的时分与上升形式相反。

整个电梯操控体系将由一片CPLD来完结。外围的电路首要包含:分频器,七段数码显现模块、发光二极管显现模块,以及按键开关模块。

各模块的功用:电梯状况操控模块,是整个体系规划的中心,完结电梯的状况操控;显现模块,首要是将体系信息显现出来,判别电梯运转是否正常;分频器模块,由4MHZ的原始频率分频得到体系所需求的频率;按键开关模块,消除因为机械按键输入的误操作和防颤动。

具体解说依据FSM的电梯操控体系的规划与完结

图1 电梯的结构图

2.2 电梯状况操控器的规划

2.2.1 规划思路

(1)电梯输入输出端口规划。输入端口包含:一个异步的置位端口,用于在体系不正常的时分回到初始状况;在电梯外部的升降的恳求端口,一层不需求有下降恳求,最高层不需求上升恳求,中间层上升、下降恳求端口都应具有;在电梯内部的各层逗留的恳求端口;一个用于驱动电梯的上升下降以及开门关门等动作时钟输入端口以及一个时钟频率比电梯高得多的按键时钟输入端口。而呼应的输出端口包含:升降恳求信号呼应端口,有恳求信号今后,该输出端口的输出逻辑“1”,被呼应后则康复到逻辑“0”;电梯内部的各层逗留呼应端口;在电梯外部指示电梯的方位端口;电梯开门关门的状况指示端口以及电梯升降指示端口。

(2)电梯操控器的完结经过状况机完结,将电梯等候的每秒钟以及开门关门都当作一个独立的状况。因为电梯每一秒升(降)一层,所以就能够经过一个一致的1 秒为周期的时钟来触发状况机。由此,状况机设置了10 个状况,别离是“stopon1(电梯逗留在1 层)”、“dooropen(开门)”、 “doorclose(关门)”、“doorwait1(开门等候第1 秒)”、“doorwait2(开门等候第2 秒)”、“doorwait3(开门等候第3 秒)”、“doorwait3(开门等候第4 秒)”、“up(上升)”、“down(下降)”和“stop(中止)”状况。每个状况之间的转化条件由规划要求决议。

2.2.2程序规划

在结构体的规划中,规划了两个进程相互配合,一个是状况机进程,别的一个是信号灯操控进程。状况机进程中的许多判别条件是以信号灯进程发生的信号灯信号为依据的,而信号灯进程中信号灯的平息又是由状况机进程中传出来的“up(上升)”和“down(下降)”信号来操控的。

在状况机进程中,在电梯处于上升状况时,经过对信号灯来判别,决议下一个状况是持续上升仍是中止;在电梯下降状况中,也是经过对信号灯的判别,决议下一个状况时持续下降仍是中止;在电梯中止状况中,判别是最为杂乱的,经过对信号灯的判别,决议电梯是上升、下降仍是中止。

在信号灯操控进程中,因为运用了专用的按键时钟,频率较高,所以使得按键的活络度增大,可是时钟频率不能过高,不然简单使按键过于活络,按键后发生的点亮的信号灯(逻辑值为‘1’)用于作为状况机进程的判别条件,而up和down信号逻辑‘1’使得相应的信号灯平息。

2.3显现模块的规划

选用动态显现形式,循环点亮三个数码管,在扫描频率大于人眼睛的视觉暂留频率(24HZ)以上,就能够到达点亮单个七段数码管显现器,却能像有3个数码管一起点亮的视觉效果。

将楼层上升、下降以及楼层中止的信号用七段数码管显现出来,电梯上升、下降、中止输出的信号用3位二进制数表明,经过七段译码器将电梯状况操控器输出的3位二进制数译码为七段数码管的输入所需求的7位二进制数。最简的真值表如表2.3所示。相应的译码程序以及多路挑选显现程序从略。

具体解说依据FSM的电梯操控体系的规划与完结

2.4分频器的规划

分频器是将输入的4MHZ信号分频为1Hz的信号,供给给状况机作为输入信号,别的分频器分频到4000HZ给动态显现模块以及按键模块作为扫描信号。依据规划的需求规划了三个分频器。

2.5 输入端口规划

在状况机的规划中,针对CPLD的容量,以三层电梯运转为例,界说了8个按键输入端。别离是:(1) 异步置位按键、(2)1层上升恳求按键、(3) 2层上升恳求按键、(4) 2层下降恳求按键、(5) 3层下降恳求按键 、(6)电梯1层中止恳求按键、(7)电梯2层中止恳求按键、(8)电梯3层中止恳求按键。

3 规划成果及剖析

3.1 电梯状况操控器的Synplify归纳

运用Synplify pro对用VHDL言语编制的有限状况机的状况改动操控程序进行归纳,经过归纳之后的“RTL”(寄存器传输级)办法的电路原理图如图2所示。

具体解说依据FSM的电梯操控体系的规划与完结

图2 Synplify pro 归纳后的状况搬运图

3.2 仿真

归纳编译完结后,值reset信号高电平有用,置为0,挑选liftclk时钟信号为1HZ、buttonclk信号时钟为4000HZ。装备恰当的输入信号,得到如图3的仿真成果。

原先电梯逗留在第一层,电梯外第三层有下降恳求,电梯上升到三层,乘客进入电梯今后要求下降一层,此刻,电梯二层有下降恳求,接着又有上升恳求,电梯首先在第二层逗留,然后下降到一层,随后再在呼应第二层上升恳求,上升到二层,乘客进入电梯今后要求上升到三层,所以电梯最终逗留的方位是三层。

具体解说依据FSM的电梯操控体系的规划与完结

图3 三层电梯的仿真波形图四

3.3 试验成果

在完好的体系规划中运用 Max+Plus II完结VHDL描绘和原理图的层次规划。在底层规划中,用VHDL别离完结每一个模块的功用,将每个模块生成可供Max+Plus II调用的器材符号,再将这些器材符号衔接在一起构成整个体系原理图,如图4所示。

具体解说依据FSM的电梯操控体系的规划与完结

图4 体系电路原理图

整个体系规划完结后,经过编译生成.pof文件,仿真成功后,下载到EPM7128SLC84-15芯片中。依据管脚分配图对已有的硬件电路进行连线,试验电路如图5所示。

具体解说依据FSM的电梯操控体系的规划与完结

图5 试验电路图

3.4 成果剖析

经过对实践的硬件测验,数码管正确显现了楼层,发光二极管呼应显现了电梯的状况,经过对不同初始状况的测验,发现除了由按键颤动带来的部分误操作外,电梯的状况转化完全符合电梯运转规矩。阐明这种规划办法是有用的。

因为很简单经过编程改动CPLD器材的操控功用,并且电梯运转的根本状况改换规则是不随楼层数改动的,因而,只需求改动相应的输入、输出端口规划就可满意不同楼层数的规划需求。

4 结束语

本次规划依据自顶向下的规划办法,用vhdl完结了电梯各状况之间的转化程序操控。在Max+Plus II环境下经过运用Synplify Pro 软件特有的归纳功用,从对软件程序的编译、仿真到可编程逻辑芯片的功用完结都进行了优化,大大提高了规划功率。这种办法可广泛用于各种杂乱状况机操控的规划之中。

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/news/dongtai/102495.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部