您的位置 首页 嵌入式

Vivado技巧:.dcp 文件替代 .xci 文件

Vivado技巧:.dcp 文件代替 .xci 文件-早在2017年1月初,我们宣布Xilinx IP目录中的所有IP使用xci和xcix格式的文件,这已经不是什么新鲜事了,其实我们之前一直在说这是我们多年来的主要建议,这其中包括很多重要的原因,xci文件是一个xml格式的文件,它能够搜集ip所有的配置信息,更重要的是包括Vivado指向的ip所生成的大量文件,比如上下文综合、约束和模拟文件等。

早在2017年1月初,咱们宣告Xilinx IP目录中的一切IP运用xci和xcix格局的文件,这现已不是什么新鲜事了,其实咱们之前一向在说这是咱们多年来的首要主张,这其间包括许多重要的原因,xci文件是一个xml格局的文件,它可以收集ip一切的装备信息,更重要的是包括Vivado指向的ip所生成的许多文件,比方上下文归纳、束缚和模仿文件等。依据xci文件Vivado可以确认IP是否现已“彻底生成”或许短少哪些文件。

许多客户都更喜爱与ISE core生成器挨近的生成模型,由于这样会生成单个文件,将.dcp文件从生成目录拷贝到Vivado工程目录,作为源文件替代之前运用的.xci文件,咱们测验支撑这种形式,可是这种办法存在许多问题咱们还无法处理,因而从某种意义上讲,咱们正远离这一点,并企图引导咱们的客户运用咱们所引荐的流程。

为此从2017年1月开端,假如用户向工程中增加.dcp文件,尤其是触及Xilinx IP目录中的模块将会看到一个严峻的正告,提示他们不引荐这样做,这个流程将继续像曾经相同继续,而且坚持2017年1月之前就存在的一些束缚条件。

Vivado技巧:.dcp 文件替代 .xci 文件

咱们还修改了IP OOC归纳的作业方式,为了防止束缚剩余的运用,在2017年1月初,OOC dcp文件将不再包括任何束缚信息,假如你遵从咱们的主张运用IP xci文件,那么之前的束缚信息将可以从头运用于IP,经过将束缚信息从dcp文件中移除,咱们可以保证不会有重复的信息。

我将用一分钟时刻向咱们展现一个示例:

假如客户在工程中运用了RTL代码,而且敞开了OOC归纳或许运用“自下而上的归纳”,那么这个流程不会受到影响,而且依然会像之前那样正常作业,这些更改仅适用于Xilinx IP目录内的IP和用户自定义封装的IP模块。

下图展现了运用.xci文件和.dcp文件作业流程的差异,这有助于让咱们了解运用独立的dcp格局的文件:

Vivado技巧:.dcp 文件替代 .xci 文件

当读取xci文件时,Vivado会读取生成的dcp文件,越过嵌入的束缚信息,选用的是原始IP的束缚文件,这是咱们引荐的流程,可以保证运用的束缚信息契合IP规划者的主意。

另一方面,当独自读取dcp文件时,Vivado并不会触及原始的IP束缚文件,DCP文件会被解压到一个暂时目录,读取网表信息而且运用DCP文件中嵌入的束缚信息,了解这个问题的本源在于原始ip xdc文件和嵌入到dcp中的xdc文件之间的差异,生成的DCP文件包括用于OOC归纳的束缚信息,这是一个“关乎上下文的”归纳进程,需求合理的束缚才干生成正确的网表,可是这些束缚信息并不关怀外部的规划。

还有一些问题用户或许还没有意识到,.xci文件指向的IP模块还需求其他一些必需的文件,dcp文件中没有嵌入要害的内存初始化信息,比方elf和coe文件等,当咱们运用dcp文件时,东西无法访问层次信息,这有助于咱们确认是否存在操控MIG校准的嵌入式MicroBlaze处理器,所以DDR的MIG流程运用独立的dcps文件无法正确作业时,咱们需求引进xci文件。

除此之外,运用.dcp进行的模仿操作产生在结构化后归纳的网表文件中,这与行为描绘的RTL文件(由.xci文件指向和传递)比较速度会十分的慢,大约会慢100倍。

其他一般会产生的问题是丢掉.xci文件——它包括有IP的装备信息,IP不能经过dcp文件从头生成——因而用户有必要坚持对.xci文件的盯梢,在前期IP的支撑中,Vivado会许多的文件,咱们十分尽力的削减这些文件的数量,现在的文件数量比较2014年削减了2/3,因而用户查看一切生成文件的版别操控会比之前简单的多,至少用户可以运用.xci文件来从头生成IP或许查看一切生成的文件然后削减编译时刻。

这些问题可以经过运用.xci或许.xcix文件来防止,这是咱们测验和支撑的—咱们没有测验独立的dcps文件。

现在的状况比之前少了许多,与几年前比较,用户看到的文件数量和巨细都削减了许多,这是对运用.xci和.dcp脚本进行的单行更改,用户依然可以彻底操控运用.xci的生成进程,因而不该该有太多的阻力就可以转移到这个流程。

.xcix文件会供给一个文件,可以用来进行版别操控,它保留了咱们主张流程的优势。

Vivado技巧:.dcp 文件替代 .xci 文件

下面是一些协助用户了解咱们规划流程主张的资源,一般人们想要运用dcp文件的原因可以归结于版别操控办法,咱们创建了快速入门视频、教程和示例来协助用户了解咱们的主张,你可以参阅用户攻略:

•版别操控快速入门视频: https://www.xilinx.com/video/hardware/vivado-design-suite-revision-contr…

•版别操控规划示例和脚本: https://github.com/xilinx/revCtrl

•版别操控教程用户攻略(最终更新2016.3): https://www.xilinx.com/support/documentaTIon/sw_manuals/xilinx2016_3/ug1…

•运用IP规划用户攻略: https://www.xilinx.com/support/documentaTIon/sw_manuals/xilinx20171/ug89…

咱们还想说的是咱们的IP用户规划攻略中关于.xci文件的运用主张现已十分明晰了,很长一段时刻运用dcp文件都会有局限性,这对用户来说应该并不古怪,咱们了解有时用户无法在短时刻内跟上用户攻略中许多的主张,这也是咱们引进正告信息的原因,而且可以提示用户运用.xci文件的重要性。

Vivado技巧:.dcp 文件替代 .xci 文件

遵从Xilinx的主张十分的重要,可以充分利用咱们最新技能带来的便当,dcp文件的规划意图并不是为了彻底契合IP杂乱的规划流程,它实际上是网表/束缚/路由规划信息的数据库,为了可以正确运用IP,你应该运用专为此而规划的.xci或.xcix文件。

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/qianrushi/336748.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部