您的位置 首页 资料

6位LED显现单片机操控电子钟/计数器

这是我们设计的单片机电子钟/计时器学习板,它采用6位LED数码管显示时、分、秒,以24小时计时方式。可以通过按键实现时分调整、秒表/时钟…

这是咱们规划的单片机电子钟/计时器学习板,它选用6位LED数码管显现时、分、秒,以24小时计时办法。能够经过按键完结时分调整、秒表/时钟功用转化、省电(封闭显现)等功用。咱们能供给的完好的汇编言语源程序清单及电路原理规划图有助于学习者进行剖析和进行试验验证

产品1:6位LED显现单片机操控电子钟/计数器制品板制品每套84元

硬件参数板上资源:MCU STC89C52、6位Led显现、3位按键。电源部分为5V直流电压,需求稳压、滤波电路,不能接反!

51单片机做的电子钟在许多当地都有介绍, 关于单片机学习者来说这个程序基本上是一道门槛,把握了电子钟程序, 基本上能够说51单片机就把握了80%。常见的电子钟程序由显现部分、核算部分、时钟调整部分构成,本产品硬件上彻底支撑倒计时器,客户只需自己批改程序就能完结倒计时功用。

为了完结LED显现器的数字显现,能够选用静态显现法和动态显现法。因为静态显现法需求数据锁存器等硬件,接口杂乱一些。考虑时钟显现只需六位,且体系没有其它杂乱的处理使命,所以决议选用动态扫描法完结LED的显现。单片机选用易购的AT89S51系列,这样单片机可具有满足的空余硬件资源完结其它的扩大功用,硬件体系的整体构成如下图所示:视频演示http://xie-gang.com/SZDZZ.htm视频演示

该板选用AT89S51单片机,最小化运用规划,选用共阳七段LED显现器,P0口输出段码数据,P2.0~P2.5做列扫描输出,P1.0,P1.2,P1.3,接三个按键开关,用以调时及功用设置。为了进步共阳数码管的驱动电压,用9012做电源驱动输出。选用12M晶振,有利于进步秒计时的精度。

本规划中,计时选用守时器T0中止完结,其他状况循环调用显现子程序,当端口开关按下时,转入相应功用程序。其主程序履行流程图见下左图:

数码管显现的数据寄存在内存单元70H~75H中。其间70H~71H寄存秒数据,72H~73H寄存分数据,74H~75H寄存时数据,每一地址单元内均为十进制BCD码。因为选用软件动态扫描完结数据显现功用,显现用十进制BCD码数据的对应段码寄存在ROM表中。显现时,先取出70H~~75H某一地址中的数据,然后查得对应的显现用段码,并从P0口输出,P2口将对应的数码管选中供电,就能显现该地址单元的数据值。

守时器T0用于时刻计时。守时溢出中止周期可别离设为50mst和10ms。中止进入后,先判别是时钟计时仍是秒表计时,时钟计时累计中止20次(即1s)时,对秒计数单元进行加1操作,秒表计时每10ms进行加1操作。时钟计数单元地址别离在70H~71H(秒)、76H~77H(分)和78H~79H(时),最大计时值为23时59分59秒。而秒表计数单元地址也在70H~~71H(0.01毫秒)、76H~~77H(秒)和78H~~79H(分),最大计时值为99分59.99秒。7AH单元内寄存“平息符”数据(#0AH)。在计数单元中选用十进制BCD码计数,满60(秒表功用时有100)进位,T0中止服务程序履行流程见上图右

T1中止服务程序用于指示调整单元数字的亮闪。在时刻调整状况下,每过0.3S将对应单元的显现数据换成“平息符”数据(#0AH)。这样在调整时刻时,对应调整单元的显现数据会距离闪亮。

调时功用程序的规划办法是:按下P1.0口按键,若按下时刻短于1 s则进入省电状况(数码管不亮,时钟不断);不然进入调分状况,等候操作,此刻计时器中止走动。当再按下按钮时,若按下时刻短于0.5s,则时刻加1分;若按下时刻善于0.5s,则进入[小]时调整状况。在[小]时调整状况下,当按键按下的时刻善于0.5s时,退出调整状况,时钟持续走动。P1.1口按键在调时状况下可完结减1功用。

运用办法:按下K1按键,假如按下时刻小于1秒,就会进入省电形式,这时数码管平息可是时钟依然运转。假如按下K1按键时刻大于1秒,就会进入调分状况,此刻计时器中止走动等候操作,这时中心的两位00会闪耀,这时当按下K1按键时刻小于0.5秒时,时刻信息就会加1(单位分钟);若时刻大于0.5秒,这时左面的两位00会闪耀,进入小时调整,在小时调整状况下按下S6按键时刻小于0.5秒时,时刻信息就会加1(单位小时),假如按下按键时刻大于0.5S时,就会退出调整状况,时钟持续走动。

配件:选用PL2303芯片的USB转TTL串口模块每个15元含一根4芯杜邦衔接线

你还能够别的购买咱们的PL2303模块,就能完结STC芯片的在线程序下载。只需衔接3根线:一根串口入R衔接单片机的P3.1TXD、一根串口出D衔接单片机的P3.0 RXD、一根地线-衔接单片机的电源地即可。

然后将PL2303的USB转串口模块刺进电脑的USB口(现已安装好2303的驱动),然后在STC编程软件界面中挑选单片机类型STC89C52RC,挑选COM6(详细按电脑实践虚拟出的串标语)波特率挑选9600,点击“OPEN FILE”挑选你的烧写文件,点击“下载”按钮,然后接通超声波测距制品学习板的电源,3秒左右就能完结程序下载并运转。

以下是部分汇编源程序,购买咱们产品后咱们能够经过电子邮件将完好的单片机汇编源程序和烧写文件发送给客户。;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; AT89S51时钟程序 ;;(该程序不全,不要直接运用。购买产品后供给悉数程序和图纸)
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
; 守时器T0、T1溢出周期为50MS,T0为秒计数用, T1为调整时闪耀用,
; P1.0、P1.1、P1.2为调整按钮,P0口 为字符输出口,选用共阳显现管。
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 中止进口程序 ;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
ORG 0000H ;程序履行开端地址
LJMP START ;跳到标号START履行
ORG 0003H ;外中止0中止程序进口
RETI ;外中止0中止回来
ORG 000BH ;守时器T0中止程序进口
LJMP INTT0 ;跳至INTTO履行
ORG 0013H ;外中止1中止程序进口
RETI ;外中止1中止回来
ORG 001BH ;守时器T1中止程序进口
LJMP INTT1 ;跳至INTT1履行
ORG 0023H ;串行中止程序进口地址
RETI ;串行中止程序回来
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 主 程 序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
START: LCALL ST ;上电显现年月日及班级学号
MOV R0,#70H ;清70H-7AH共11个内存单元
MOV R7,#0BH ;
CLEARDISP: MOV @R0,#00H ;
INC R0 ;
DJNZ R7,CLEARDISP ;
MOV 20H,#00H ;清20H(标志用)
MOV 7AH,#0AH ;放入”平息符”数据
MOV TMOD,#11H ;设T0、T1为16位守时器
MOV TL0,#0B0H ;50MS守时初值(T0计时用)
MOV TH0,#3CH ;50MS守时初值
MOV TL1,#0B0H ;50MS守时初值(T1闪耀守时用)
MOV TH1,#3CH ;50MS守时初值
SETB EA ;总中止敞开
SETB ET0 ;答应T0中止
SETB TR0 ;敞开T0守时器
MOV R4,#14H ;1秒守时用初值(50MS×20)
START1: LCALL DISPLAY ;调用显现子程序
JNB P1.0,SETMM1 ;P1.0口为0时转时刻调整程序
JNB P1.1,FUNSS ; 秒表功用,P1.1按键调不时作减1加能
JNB P1.2,FUNPT ;STOP,PUSE,CLR
SJMP START1 ;P1.0口为1时跳回START1
SETMM1: LJMP SETMM ;转到时刻调整程序SETMM
FUNSS: LCALL DS20MS
JB P1.1,START1
WAIT11: JNB P1.1,WAIT11
CPL 03H
MOV 70H,#00H
MOV 71H,#00H
MOV 76H,#00H
MOV 77H,#00H
MOV 78H,#00H
MOV 79H,#00H
AJMP START1
FUNPT: LCALL DS20MS
JB P1.2,START1
WAIT22: JNB P1.2,WAIT21
CLR ET0
CLR TR0
WAIT33: JB P1.2,WAIT31
LCALL DS20MS
JB P1.2,WAIT33
WAIT66: JNB P1.2,WAIT61
MOV R0,#70H ;清70H-79H共10个内存单元
MOV R7,#0AH ;
CLEARP: MOV @R0,#00H ;
INC R0 ;
DJNZ R7,CLEARP ;
WAIT44: JB P1.2,WAIT41
LCALL DS20MS
JB P1.2,WAIT44
WAIT55: JNB P1.2,WAIT51
SETB ET0
SETB TR0
AJMP START1
WAIT21: LCALL DISPLAY
AJMP WAIT22
WAIT31: LCALL DISPLAY
AJMP WAIT33
WAIT41: LCALL DISPLAY
AJMP WAIT44
WAIT51: LCALL DISPLAY
AJMP WAIT55
WAIT61: LCALL DISPLAY
AJMP WAIT66
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 1秒计时程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;T0中止服务程序
INTT0: PUSH ACC ;累加器入栈维护
PUSH PSW ;状况字入栈维护
CLR ET0 ;关T0中止答应
CLR TR0 ;封闭守时器T0
JB 03H,FSS
MOV A,#0B7H ;中止呼应时刻同步批改
ADD A,TL0 ;低8位初值批改
MOV TL0,A ;重装初值(低8位批改值)
MOV A,#3CH ;高8位初值批改
ADDC A,TH0 ;
MOV TH0,A ;重装初值(高8位批改值)
SETB TR0 ;敞开守时器T0
DJNZ R4, OUTT0 ;20次中止未到中止退出
ADDSS: MOV R4,#14H ;20次中止到(1秒)重赋初值
MOV R0,#71H ;指向秒计时单元(71H-72H)
ACALL ADD1 ;调用加1程序(加1秒操作)
MOV A,R3 ;秒数据放入A(R3为2位十进制数组合)
CLR C ;清进位标志
CJNE A,#60H,ADDMM ;
ADDMM: JC OUTT0 ;小于60秒时中止退出
ACALL CLR0 ;大于或等于60秒时对秒计时单元清0
MOV R0,#77H ;指向分计时单元(76H-77H)
ACALL ADD1 ;分计时单元加1分钟
MOV A,R3 ;分数据放入A
CLR C ;清进位标志
CJNE A,#60H,ADDHH ;
ADDHH: JC OUTT0 ;小于60分时中止退出
ACALL CLR0 ;大于或等于60分时分计时单元清0
MOV R0,#79H ;指向小时计时单元(78H-79H)
ACALL ADD1 ;小时计时单元加1小时
MOV A,R3 ;时数据放入A
CLR C ;清进位标志
JB 03H,OUTT0 ;秒表时最大数为99
CJNE A,#24H,HOUR ;
HOUR: JC OUTT0 ;小于24小时中止退出
ACALL CLR0 ;大于或等于24小时小时计时单元清0
OUTT0: MOV 72H,76H ;中止退出时将分、时计时单元数据移
MOV 73H,77H ;入对应显现单元
MOV 74H,78H ;
MOV 75H,79H ;
POP PSW ;康复状况字(出栈)
POP ACC ;康复累加器
SETB ET0 ;敞开T0中止
RETI ;中止回来
;秒表计时程序(10MS加1),低2位为0.1、0.01秒,中心2位为秒,最高位为分。
;最大计数值为99
FSS: MOV A,#0F7H ;中止呼应时刻同步批改,重装初值(10ms)
ADD A,TL0 ;低8位初值批改
MOV TL0,A ;重装初值(低8位批改值)
MOV A,#0D8H ;高8位初值批改
ADDC A,TH0 ;
MOV TH0,A ;重装初值(高8位批改值)
SETB TR0 ;敞开守时器T0
MOV R0,#71H ;指向秒计时单元(71H-72H)
ACALL ADD1 ;调用加1程序(加1秒操作)
CLR C ;
MOV A,R3 ;
JZ FSS1 ;加1后为00,C=0
SETB C ;加1后不为00,C=1
FSS1: AJMP ADDMM ;
》》》》》》》》》》》省掉》》》》》
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 加1子 程 序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
ADD1: MOV A,@R0 ;取当时计时单元数据到A
DEC R0 ;指向前一地址
SWAP A ;A中数据高四位与低四位交流
ORL A,@R0 ;前一地址中数据放入A中低四位
ADD A,#01H ;A加1操作
DA A ;十进制调整
MOV R3,A ;移入R3寄存器
ANL A,#0FH ;高四位变0
MOV @R0,A ;放回前一地址单元
MOV A,R3 ;取回R3中暂存数据
INC R0 ;指向当时地址单元
SWAP A ;A中数据高四位与低四位交流
ANL A,#0FH ;高四位变0
MOV @R0,A ;数据放入当削地址单元中
RET ;子程序回来
》》》》》》》》》》》省掉》》》》》
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 延时程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
;1MS延时程序,LED显现程序用
DL1MS: MOV R6,#14H

#############################################################################################################################################

产品2:选用AT89C2051的6位LED电子钟(计数器)

一、原理阐明:

1、显现原理:

显现部分首要器材为2位共阳赤色数码管,驱动选用PNP型三极管驱动,各端口配有限流电阻,驱动办法为扫描,占用P1.0~P1.6端口。冒号部分选用4个Φ3.0的赤色发光,驱动办法为独立端口驱动,占用P1.7端口。

2、键盘原理:

按键S1~S3选用复用的办法与显现部分的P3.5、P3.4、P3.2口复用。其作业办法为,在相应端口输出高电平时读取按键的状况并由单片机支除颤动并赋予相应的键值。

3、迅响电路及输入、输出电路原理:

迅响电路由有源蜂鸣器和PNP型三极管组成。其作业原理是当PNP型三极管导通后有源蜂鸣器当即宣布定频动静。驱动办法为独立端口驱动,占用P3.7端口。
输出电路是与迅响电路复合效果的,其电路结构为有源蜂鸣器,5.1K定值电阻R6,排针J3并联。当有源蜂鸣器无迅响时J3输出低电平,当有源蜂鸣器宣布动静时J3输出高电平,J3可接入数字电路等各种需求。驱动办法为迅响复合输出,不占端口。

输入电路是与迅响电路复合效果的,其电路结构是在迅响电路的PNP型三极管的基极电路中接入排针J2。引脚排针可改动单片机I/O口的电平状况,然后到达输入的意图。驱动办法为复合端口驱动,占用P3.7端口。

4、单片机体系:

本产品选用AT89C2051为中心器材(AT89C2051烧写程序有必要凭借专用编程器,咱们供给的单片机现已写入程序),并合作一切的有必要的电路,只具有上电复位的功用,无手动复位功用。

二、运用阐明:

1、功用按键阐明: S1为功用挑选按键,S2为功用扩展按键,S3为数值加一按键。
2、功用及操作阐明:操作时,接连短时刻(小于1秒)按动S1,即可在以上的6个功用中接连循环。半途假如长按(大于2秒)S1,则当即回到时钟功用的状况。

1、时钟功用:上电后即显现10:10:00 ,涵义完美无瑕。
2、校时功用:短按一次S1,即当不时刻和冒号为闪耀状况,按动S2则小时位加1,按动S3则分钟位加1,秒时不可调。
3、闹钟功用:短按二次S1,显现状况为22:10:00,冒号为长亮。按动S2刚小时位加1,按动S3则分钟位加1,秒时不可调。当按动小时位超越23时则会显现–:–:–,这个表明封闭闹钟功用。闹铃声为蜂鸣器长鸣3秒钟。
4、倒计时功用:短按三次S1,显现状况为 0,冒号为长灭。按动S2则从低位依此显现高位,按动S3则相应位加1,当S2按到第6次时会在所设定的时刻状况下开端倒计时,再次按动S2将再次进入调整功用,而且中止倒计时。
5、秒表功用:短按四次S1,显现状况为00:00:00,冒号为长亮。按动S2则开端秒表计时,再次按动S2则中止计时,当中止计时的时分按动S3则秒表清零。
6、计数器功用:短按五次S1,显现状况为00:00:00,冒号为长灭,按动S2则计数器加1,按动S3则计数器清零。

选用AT89C2051的6位LED电子钟(计数器)散件每套28元

以下是部分C言语源程序,购买产品后能够向咱们索要完好的单片机C言语源程序、烧写文件和阐明书。

#include

code senen_seg[10]={0x81,0xe7,0x92,0xa2,0xe4,0xa8,0x88,0xe3,0x80,0xa0}; //P1.7(冒号)口高电平

bit key1_enter=0,key2_enter=0,key3_enter=0,countdown_mark=0,stopwatch_mark=0,count_mark=0,bell_mark=0; //状况标志
unsigned char program=0,program_variable=0,count_bit=0,count=0;
unsigned char hour=10,minute=10,second=0; //时刻变量
unsigned char delayed_hour=22,delayed_minute=10,delayed_second=0; //守时变量
unsigned char count_hour=0,count_minute=0,count_second=0; //计时计数变量
unsigned int count_time=0,count_count=0;

void delay(unsigned int t) //延时子程序
{
unsigned int i,j;
for(i=0;ifor(j=0;j<10;j++)
;
}

void time0_init(void){ //守时计数0器初始化
EA=0;
TR0=0;
TMOD=0x02;
TH0=0x4;
TL0=0x4;
ET0=1;
TR0=1;
EA=1;
}

static void timer0_isr(void) interrupt TF0_VECTOR using 1 //守时计数0器中止函数
{
count_time++; //时钟计时程序
if(count_time>=4020){
count_time=0;
second++;
if(second>=60){
second=0;
minute++;
if(minute>=60){
minute=0;
hour++;
if(hour>=24)hour=0;
}
}
}
if(delayed_hour==hour && delayed_minute==minute && second<4) P3_7=0;
else P3_7=1;
if(countdown_mark==1){ //倒计时程序
count_count++;
if(count_count>=4000 && (count_second!=0|count_minute!=0|count_hour!=0)){
count_count=0;
count_second–;
if(count_second>=60){
count_second=59;
count_minute–;
if(count_minute>=60){
count_minute=59;
count_hour–;
if(count_hour>=100) count_hour=99;
}
}
}
if(count_second==0&&count_minute==0&&count_hour==0&&count_count<=12000) P3_7=0;
else P3_7=1;
if(count_count>=15000) count_count=14000;
}
if(stopwatch_mark==1){ //秒表程序
count_count++;
if(count_count>=40){
count_count=0;
count_second++;
if(count_second>=100){
count_second=0;
count_minute++;
if(count_minute>=60){
count_minute=0;
count_hour++;
if(count_hour>=60) count_hour=0;
}
}
}
}
}

unsigned char show_key (void){
unsigned char x=0,y=0;
switch (program){
case 0: P1&=senen_seg[second%10]; //时钟秒的个位
break;
case 1: if(count_time>=2000) P1&=senen_seg[second%10]; //校对秒的个位
break;
case 2: if(delayed_hour==24) P1=0xfe; //闹钟秒的个位
else P1&=senen_seg[delayed_second%10];
break;
case 3: if(count_bit>=0) P1&=senen_seg[count_second%10];//倒计时秒的个位
else P1=0xff;
break;
case 4: P1&=senen_seg[count_second%10]; //秒表秒的个位
break;
case 5: P1&=senen_seg[count_second%10]; //计数器个位
break;
}
P3_3=0;
delay(10);
if(P3_5==0){ //功用键1辨认
key1_enter=1;
if(count<=254)count++;
}
if(P3_4==0) key2_enter=1; //功用键2辨认
if(P3_2==0) key3_enter=1; //功用键3辨认
P3_3=1;
P1|=0xff;

switch (program){
case 0: P1&=senen_seg[second/10]; //时钟秒的十位
break;
case 1: if(count_time>=2000) P1&=senen_seg[second/10]; //校对秒的十位
break;
case 2: if(delayed_hour==24) P1=0xfe; //闹钟秒的十位
else P1&=senen_seg[delayed_second/10];
break;
case 3: if(count_bit>=1) P1&=senen_seg[count_second/10];//倒计时秒的十位
else P1=0xff;
break;
case 4: P1&=senen_seg[count_second/10]; //秒表秒的十位
break;
case 5: P1&=senen_seg[count_second/10]; //计数器十位
break;
}
P3_1=0;
delay(10);
P3_1=1;
P1|=0xff;

switch (program){
case 0: P1&=senen_seg[minute%10]; //时钟分的个位
break;
case 1: if(count_time>=2000) P1&=senen_seg[minute%10]; //校对分的个位
break;
case 2: if(delayed_hour==24) P1=0xfe; //闹钟分的个位
else P1&=senen_seg[delayed_minute%10];
break;
case 3: if(count_bit>=2) P1&=senen_seg[count_minute%10];//倒计时分的个位
else P1=0xff;
break;
case 4: P1&=senen_seg[count_minute%10]; //秒表分的个位
break;
case 5: P1&=senen_seg[count_minute%10]; //计数器百位
break;
}
P3_2=0;
delay(10);
P3_2=1;
P1|=0xff;

switch (program){
case 0: P1&=senen_seg[minute/10]; //时钟秒的个位
break;
case 1: if(count_time>=2000) P1&=senen_seg[minute/10]; //校对秒的个位
break;
case 2: if(delayed_hour==24) P1=0xfe; //闹钟秒的个位
else P1&=senen_seg[delayed_minute/10];
break;
case 3: if(count_bit>=3) P1&=senen_seg[count_minute/10];//倒计时秒的个位
else P1=0xff;
break;
case 4: P1&=senen_seg[count_minute/10]; //秒表秒的个位
break;
case 5: P1&=senen_seg[count_minute/10]; //计数器千位
break;
}
P3_5=0;
delay(10);
P3_5=1;
P1|=0xff;

switch (program){
case 0: P1&=senen_seg[hour%10]; //时钟时的个位
break;
case 1: if(count_time>=2000) P1&=senen_seg[hour%10]; //校对时的个位
break;
case 2: if(delayed_hour==24) P1=0xfe; //闹钟时的个位
else P1&=senen_seg[delayed_hour%10];
break;
case 3: if(count_bit>=4) P1&=senen_seg[count_hour%10];//倒计不时的个位
else P1=0xff;
break;
case 4: P1&=senen_seg[count_hour%10]; //秒表时的个位
break;
case 5: P1&=senen_seg[count_hour%10]; //计数器万位
break;
}
P3_0=0;
delay(10);
if(P3_4==1 && key2_enter==1){
x=3; //承认功用键2辨认,回来3
key2_enter=0;
}
P3_0=1;
P1|=0xff;

switch (program){
case 0: P1&=senen_seg[hour/10]; //时钟时的个位
break;
case 1: if(count_time>=2000) P1&=senen_seg[hour/10]; //校对时的个位
break;
case 2: if(delayed_hour==24) P1=0xfe; //闹钟时的个位
else P1&=senen_seg[delayed_hour/10];
break;
case 3: if(count_bit>=5)P1&=senen_seg[count_hour/10]; //倒计不时的个位
else P1=0xff;
break;
case 4: P1&=senen_seg[count_hour/10]; //秒表时的个位
break;
case 5: P1&=senen_seg[count_hour/10]; //计数器万位
break;
}
P3_4=0;
delay(10);
if(P3_5==1 && key1_enter==1){ //承认功用键1辨认,履行退出或进入下一个功用
if(count>=127) x=1; //承认功用键1为长按,回来1
else x=2; //承认功用键1为短按,回来2
key1_enter=0;
count=0;
}
if(P3_2==1 && key3_enter==1){
x=4; //承认功用键3辨认,回来4
key3_enter=0;
}
P3_4=1;
P1|=0xff;
if(program<=1 && count_time>=2000) P1&=0xff; //校时和显现功用时钟时冒号闪耀
if(program<=1 && count_time<=2000) P1&=0x7f;
if(program==2) P1&=0x7f; //设置闹钟功用时冒号长亮
if(program==3) P1&=0xff; //设置倒计时功用时冒号长灭
if(program==4) P1&=0x7f; //设置秒表功用时冒号长亮
if(program==5) P1&=0xff; //设置计数时冒号长灭
y=x;
x=0;
return y;
}

void main(){ //主程序
P1=0xff;
P3=0xff;
time0_init();
while(1){
switch(program){
case 0: while(program==0){ //时钟菜单
switch(show_key()){
case 0: break;
case 1: program=0;
break;
case 2: program=1;
break;
}
}
break; //校时菜单
case 1: while(program==1){
switch(show_key()){
case 0: break;
case 1: program=0;
break;
case 2: program=2;
break;
case 3: hour++;
if(hour>=24)hour=0;
break;
case 4: minute++;
if(minute>=60)minute=0;
break;
}
}
break;
case 2: while(program==2){ //闹钟菜单
switch(show_key()){
case 0: break;
case 1: program=0;
break;
case 2: program=3;
break;
case 3: delayed_hour++;
if(delayed_hour>=25)delayed_hour=0;
break;
case 4: delayed_minute++;
if(delayed_minute>=60)delayed_minute=0;
break;
}
}
break;
case 3: while(program==3){ //倒计时菜单
switch(show_key()){
case 0: break;
case 1: program=0;
break;
case 2: program=4;
break;
case 3: count_bit++;
if(count_bit>=7)count_bit=0;
break;
case 4: switch(count_bit){
case 0: count_second+=1;
break;
case 1: count_second+=10;
break;
case 2: count_minute+=1;
break;
case 3: count_minute+=10;
break;
case 4: count_hour+=1;
break;
case 5: count_hour+=10;
break;
case 6: break;
}
if(count_hour>=100) count_hour-=100;
if(count_minute>=60) count_minute-=60;
if(count_second>=60) count_second-=60;
break;
}
if(count_bit==6) countdown_mark=1;
else countdown_mark=0;
}
break;
case 4: count_hour=0; //秒表菜单
count_minute=0;
count_second=0;
while(program==4){
switch(show_key()){
case 0: break;
case 1: program=0;
break;
case 2: program=5;
break;
case 3: stopwatch_mark=~stopwatch_mark;
break;
case 4: if(stopwatch_mark==0){
count_hour=0;
count_minute=0;
count_second=0;
}
break;
}
}
break; //计数器菜单
case 5: count_hour=0;
count_minute=0;
count_second=0;
while(program==5){
switch(show_key()){
case 0: break;
case 1: program=0;
break;
case 2: program=0;
break;
case 3: count_second++;
if(count_second>=100){
count_second=0;
count_minute++;
if(count_minute>=100){
count_minute=0;
count_hour++;
if(count_hour>=100)count_hour=0;
}
}
break;
case 4: count_hour=0;
count_minute=0;
count_second=0;
break;
}
if(P3_7==0){
while(P3_7==0) show_key();
count_second++;
if(count_second>=100){
count_second=0;
count_minute++;
if(count_minute>=100){
count_minute=0;

声明:本文内容来自网络转载或用户投稿,文章版权归原作者和原出处所有。文中观点,不代表本站立场。若有侵权请联系本站删除(kf@86ic.com)https://www.86ic.net/ziliao/276280.html

为您推荐

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部