简略的JK触发器的VHDL程序

简略的JK触发器的VHDL程序

简单的JK触发器LIBRARYIEEE;USEIEEESTD_LOGIC_1164ALL;ENTITYJKff_1ISPORT(J,K:INSTD_LOGIC;clk:INstd_logic;Q:

广告

半加器的VHDL程序

半加器的VHDL程序

半加器1LIBRARYIEEE;USEIEEESTD_LOGIC_1164ALL;ENTITYhalfadderISPORT(A,B:INSTD_LOGIC;Co:outSTD_LOGIC;S:out

不带使能端的3线8线译码器VHDL程序

不带使能端的3线8线译码器VHDL程序

1。用CASE语句LIBRARYIEEE;USEIEEESTD_LOGIC_1164ALL;ENTITYLS138ISPORT(S:inSTD_LOGIC_vector(2downto0);Y:out

7段数码管显现驱动vhdl程序

7段数码管显现驱动vhdl程序

再编辑一个7段数码管(共阴极)显示驱动程序:Libraryieee;Useieeestd_logic_1164all;EntityQDLED7isPORT(DATA:INSTD_LOGIC_VE

联系我们

联系我们

在线咨询: QQ交谈

邮箱: kf@86ic.com

关注微信
微信扫一扫关注我们

微信扫一扫关注我们

返回顶部